新闻中心

EEPW首页 > EDA/PCB > 业界动态 > 10/7nm、内存市场持续推动晶圆设备需求增长

10/7nm、内存市场持续推动晶圆设备需求增长

作者:时间:2018-01-01来源:集微网收藏
编者按:Fab供应商在2017年迎来了一个繁荣周期。然而,在逻辑/晶圆设备中,设备需求在2017年仍相对不温不火。在2018年,设备需求看起来强劲,尽管该行业将很难超过2017年所创下的纪录。

  由于对3D NAND和DRAM设备的巨大需求,Fab供应商在2017年迎来了一个繁荣周期。然而,在逻辑/设备中,设备需求在2017年仍相对不温不火。在2018年,设备需求看起来强劲,尽管该行业将很难超过2017年所创下的纪录。事实上,根据目前的预测,IC设备市场预计将在2018年降温,而后转为较正常增长模式。

本文引用地址:http://www.eepw.com.cn/article/201801/373847.htm

  根据VLSI研究数据显示,预计2017年半导体设备市场将达到704亿美元,比2016年的539亿美元增长30.6%。而在2018年,IC设备市场预计将达到735亿美元,比2017年增长4.4%。


  图1:半导体设备市场增长情况

  当然,这些预测可能会发生变化,因为多种因素可能会影响fab行业,比如经济因素和政治因素就在竞技场中扮演着重要角色。

  尽管如此,fab供应商还是十分乐观。应用材料市场营销和业务发展副总裁Arthur Sherman表示, 预计WFE(fab设备)市场将在2018年有所增长,因为需求更为强劲。相关人士表示,随着供应商增加了更多的功能,智能手机和其他移动设备中的硅含量也在不断增加。此外,还有一些新兴的趋势,如IoT、大数据、人工智能和智能汽车等,它们也都正在期待fab市场的表现。

  借此,将在2018年及以后影响设备支出的关键市场进行了分析。①一些芯片制造商将在2018年从16nm / 14nm向10nm / 7nm的逻辑节点进行迁移,这一举动可能会导致铸造/逻辑领域的设备需求猛增。②3D NAND将在2018年成为设备的主要驱动者.根据IC Insights的数据,在3D NAND中,三星的资本支出在2017年将达到惊人的140亿美元。③三星在2017年的资本支出总额为260亿美元,其中包括3D NAND、DRAM(70亿美元)和foundry(50亿美元)。④中国仍是fab设备投资活动的温床,跨国公司和国内芯片制造商纷纷计划在中国建设新的厂。⑤极端的紫外(EUV)光刻技术有望在2018年生产,但传统的多模式光刻技术仍将是设备制造商迫在眉睫需要解决的事情。⑥ 2018年,200mm的fab持续出现供不应求的情况。

  IC市场前景良好,对fab需求迫切

  据《世界半导体贸易统计》(WSTS)报告显示,2017年IC市场将达到4090亿美元,比2016年增长20.6%。据WSTS统计,2018年,IC行业将达到4,370亿美元,比2017年增长7%。

  代工业相对稳定。CLSA分析师Sebastian Hou表示,总体来看,2017年代工行业预计将增长7%。2018年,代工业务预计将增长6%至7%。但在设备领域,预测是有不确定性的。例如,在2016年末,就有许多人预测,晶圆fab设备(WFE)市场2017年将从335亿美元到340亿美元,比2016年增长约5%。由于3D NAND设备支出激增,WFE市场已经超出预期。KLA-Tencor全球客户解决方案高级副总裁兼首席营销官Oreste Donzella说,之前2017年WFE的目标是超过450亿美元,比去年同期增长20%到25%,因此说明预测的不确定性。

  这种势头会延续到2018年吗?到目前为止,看起来相对稳定,供应商们谨慎乐观。Donzella说,预计2018年的WFE将比2017年增长个位数的百分比。

  在另一项预测中,SEMI预计2017年的设备销售额为559亿美元,比2016年增长35.6%。 SEMI表示,2018年,设备市场将达到601亿美元,比2016年增长7.5%。


  图2:年末设备预测

  在fab工具供应商DRAM、NAND和foundry / logic的三个主要增长驱动因素中,WFE需求看起来是可靠的。Donzella说,特别是市场(DRAM和3D NAND)的收入增长非常强劲,预计明年WFE将会大幅度增长。

  DRAM的驱动程序是智能手机和服务器。固态硬盘(ssd)和智能手机正在推动NAND的需求。而FPGAs和处理器的供应商预计将跃入10nm / 7nm。

  还有其他的驱动因素。“我们正处于一个不可思议的计算转换的开始,从翻译和语音识别到自动驾驶汽车,将机器学习和人工智能的能力添加到一系列的设备和服务中”,Sherman说。“这种转变有可能在未来几十年改变我们的经济。为这些变化提供动力将是新的计算平台和对许多现有产品、服务和业务模型的补充。这将进一步推动新数据生成、计算和存储需求。”

  那么问题到底出现在了哪里?Sherman说,总有高水平的宏观经济影响会影响电子产品的支出,但现在有一些强有力的趋势让我们更多地考虑稳定和上升。

  其他人也同意。这背后的深度学习技术将影响到半导体设计和制造领域,就像它将影响未来三到十年的每一项业务一样。精确的模拟将创造出大量的数据来训练一个深度学习的引擎。虽然从工厂实际数据检验和SEM图像等将作为训练数据,它是基于仿真的可以自动生成大量具有各种变量的数据来为学习平台服务,D2S首席执行官Aki Fujimura说。

 晶圆市场在持续低迷后,迎来春天

  掌握市场动向的一种方法是观察硅晶圆片和光掩膜板这两个关键细分市场。

  多年来,硅片市场一直饱受供过于求的困扰,导致价格持续低迷。但在2017年需求有所增长,硅晶圆市场也朝着均衡状态迈进,因此价格有所提升。

  根据SEMI的数据,预计2018年硅晶片出货量将达到11,814百万平方英寸,比2017年增长3.2%。据SEMI说,2017年的增长率为8.2%。


  图3:晶圆出货量预测

  根据SEMI的数据,2016年,光掩膜板市场销售额为33.2亿美元,较2015年增长2%。在2017年和2018年,掩膜市场预计将分别增长4%和3%。

  在先进的节点,光掩膜板变得越来越复杂,难以制造。有几个挑战,但主要的问题是使用今天的单波束电子束系统花更长的时间去做一个掩膜。因此,对于复杂的掩膜,该行业正开始在掩膜商店中采用一种新的多波束系统。

  英特尔公司的子公司IMS Nanofabrication已经在市场上发布了多光束掩膜写入器。竞争对手NuFlare也在出售类似的系统。

  D2S的 Fujimura说,不管是用于193i光刻的多重图案化的复杂ILT(反向光刻技术)图案,还是即将具有30nm亚分辨率辅助特征的EUV掩膜,在前沿工艺上的掩模方面都需要多波束复刻。

  掩膜制作与光刻相关联。在光刻技术中,最大的问题是EUV光刻技术是否会在2018年投入生产。芯片制造商想要EUV用于7nm或5nm。理论上,EUV可以降低这些节点的复杂性。但是今天,EUV还没有准备好。EUV能否投入使用依赖于EUV电源、光刻胶和掩膜等方面是否准备好。

  尽管面临诸多挑战,三星希望在2018年的 7nm逻辑工艺节点上使用EUV。相比之下,其他芯片制造商将采取更为保守的路线,从传统的193nm沉浸式和10nm / 7nm的多重曝光开始切入。

  Fujimura表示,对于EUV来说,无论其投入生产中是在2018年下半年开始,还是在2019年,很明显,半导体行业正准备在生产中使用EUV。EUV最初将部署在已经部署了193nm多重曝光的领域。这将使生态系统更顺利地过渡,而不是一下子全盘转换。

  芯片制造商可能会在短期内将EUV插入一层或几层,但实际的大批量生产仍然需要一两年的时间,EUV光刻及其生态系统将在2018年至2019年继续发展,2020年的态势也持续见好。

  然而,EUV不会主导整个格局。在插入时,EUV将主要用于代工和逻辑应用的切割和过孔。这大约占据整个曝光市场的20%,其余仍是多重曝光。

  Fad尺寸新突破:计划迁移至10nm/7nm

  对于设备供应商来说,前沿的市场/逻辑市场在最近几年相对低迷。在每个节点上,芯片制造商都需要大量的研发投入和资本投入。而且,在每个节点上,越来越少的代工厂客户负担得起研发费用。

  2018年,GlobalFoundries、Intel、Samsung和TSMC预计将从16nm / 14nm的FinFET迁移到10nm /7nm FinFET。英特尔正在增加10nm,而代工厂正在准备。简而言之,Intel的10nm技术相当于其他代工厂的7nm节点。


  图4:FinFET vs. planar

  无论如何,芯片制造商都面临着一些挑战。例如,英特尔原本预计在2017年下半年进入10nm的批量生产,但是由于技术的挑战,这一时程延缓到了2018年上半年。

  投资银行Morningstar的分析师Abhinav Davuluri最近接受采访时说,英特尔是一家以高盈利为目的的公司。根据从他们的产品推出和时间表中看到的情况,他们不得不把(10nm)推掉,转由年底生产,而在2018年还不一定能全面发力。

  时间会告诉我们,GlobalFoundries、三星和台积电是否会在7nm竞争。据高德纳咨询公司(Gartner)的分析师Samuel Wang表示,看起来这三家代工厂都取得了不错的进展。

  尽管如此,预计到2018年,10nm / 7nm的采用率将逐步提高。Wang说,从预计到2018年,这方面收入将从25亿美元增加到30亿美元。相比之下,10nm营收预计将在2017年达到50亿美元。

  应用材料的Sherman表示,随着时间的推移10nm / 7nm预计会成为一个大而长的节点,与28nm节点不相上下,这个比例还在增加。5nm也同样如此。

  领域

  在2017年,市场一直是fab设备的主要推动力。预计2018年将遵循类似的模式。Sherman说,对内存技术的巨大需求创造了历史最高出货量。智能手机中的DRAM和NAND内存持续d增长。最近,智能手机的平均NAND用量已经增长了大约50%,从2016年的大约24G到现在的大约38G。最近,一家主要的内存供应商宣布了512G的产品,以供将来的智能手机使用,前景良好。

  SSD也推动了NAND的需求。相关人士说:“内存市场十分健康,NAND的需求增长在40%到50%之间。”

  但市场研究公司TrendForce的数据显示,NAND预计将在2018年第一季度出现季节性放缓,导致供过于求和平均售价下跌。不过,目前还不清楚NAND供应过剩会持续多久。

  与此同时,在2018年,Intel、Micron、三星、SK Hynix、东芝和Western Digital将继续增加3D NAND。因此,3D NAND将出现另一个巨大的支出周期。

  3D NAND强劲增长是有原因的。今天的2D NAND已经达到了1xnm节点的物理极限。因此,在一段时间内,NAND供应商有必要从2D NAND迁移到3D NAND。

  3D NAND比之前认为的更难制造。不同于2D NAND,它是一个2D结构,3D NAND类似于垂直的摩天大楼,其中水平层被堆叠起来,然后通过微小的垂直通道连接。


  图5:NAND架构


  图6:3D NAND体系结构

  所以从2D到3D的转换时间比预期的长。据应用材料公司的估计,目前,NAND的装机容量为160万晶圆片,而目前只有一半的产能被转化为3D NAND。

  除了转化率之外,还有一些问题是关于3D NAND的规模有多大。在2017年,3D NAND供应商已经从48层迁移至64层,在R&D有96层。我们将在2018年看到96层设备。密度有望每年将增加一倍。

  然而,96层的NAND设备开发是具有挑战性的。因此,该行业正在向一种被称为串行堆叠的制造技术转移。为此,供应商将开发两个48层的3D NAND设备并连接它们,从而形成一个96层的3D设备。所以我们有两层3D NAND ——48 + 48层。

  有了串行堆叠,3D NAND可以扩展到512层或更多。然而,串行堆叠增加了更多的制造成本,给这个行业带来了困难的挑战。

  中国fab热度不减

  与此同时,据SEMI表示,在2017年,韩国预计将超过台湾,成为最大的fab设备支出市场。台湾将排名第二,而中国将排名第三。

  根据该行业组织的数据,2018年,韩国预计将保持第一名,中国将进入第二名。

  SEMI表示,在中国,总共有15个新的fab项目,其中包括跨国公司和国内芯片制造商。由于中国市场的不稳定性,虽然项目启动还处于未知状态。但显而易见的是,中国正在努力减少其在IC领域持续从外国供应商进口大量芯片导致的巨大贸易失衡。

  预计,中国市场将稳步增长。KLA-Tencor已经在中国看到了希望,不乏有重要订单。KLA-Tencord的Donzella说,KLA-Tencor是投资的前沿,因为需要检测和计量工具来满足工艺设备的要求。应用材料的Sherman预计,到2018年,中国晶圆厂的设备投资将比2017年增长约20亿美元。

  与此同时,在过去两年里,由于对某些芯片的需求激增,IC行业经历了200mm fab容量的严重短缺。这进而推动了对200mm设备的需求。问题是几乎没有200mm设备可用,因此价格相对较高。

  “在200mm方面,2018年将与2017年相似。”在2017年,200mm的fab利用率已经达到或接近100%。我们认为,2018年可能整体情况与2017年类似,200mm晶圆厂的利用率将继续保持在90%以上。根据相关人士的说法,市场上只有500种可用的200mm工具,而且许多工具在今天的fabs中都达不到要求,所以继续补充短缺的200mm设备迫在眉睫。



关键词: 内存 晶圆

评论


相关推荐

技术专区

关闭