新闻中心

EEPW首页 > EDA/PCB > 设计应用 > 利用多项目晶圆服务降低芯片开发费用与风险

利用多项目晶圆服务降低芯片开发费用与风险

——
作者:MOSIS公司 Wes Hansford时间:2007-10-07来源:电子产品世界收藏

引言

一部分的NRE(一次性工程费用)被控制的越来越紧,但是另一部分却并非如此,典型的掩模组的价格急剧上升。并且预测表明看不到未来这一势头会放缓的任何希望。在设计130纳米节点时,一套掩膜组的费用在50万至60万美元之间,90纳米时,上升到了100万,65纳米时达到了150万美元,展望一下并不久远的未来,据光罩供应商Photronics公司预测在32纳米节点时一套掩膜组的费用将达到300万美元[1]。

这里所描述的财务上的挑战被这样一个事实加剧了,即任何一个项目的最初预算需要考虑至少重新流片一次(one respin),因为根据Collett International Research最新的数据显示,设计环节的费用所占比重超过了60%[2]。

乍一看,可能把很多提议在拿到会议桌上讨论之前就否决掉的正是这些需要大把花钱的决定性的数字。但是,这一情景只适用于你基于单个项目计算费用的情况。有一种可供选择的原型技术能够降低掩膜成本的膨胀——即使对于那些需要采用新兴技术的项目也是适用的。并且还有助于控制在流片后(post-silicon)发现缺陷时需要重新设计时的工作量。

多项目(MPW)技术再次使创新变得可行。很多公司提供这项服务,并且至少有一家公司已经为全球商业公司、政府部门以及研究和教育机构提供了超过50,000项设计项目的服务。这代表着在过去四分之一世纪的时间里持续的把最新创意带到市场上,而很多创意,如果不是借助MPW,可能永远没有机会重见天日。

MPW概要

MPW(Multi-Project Wafer)是一个不常见的用三个字母表示它所代表意思的的首字母缩写词。服务提供商先向主要的foundries厂预定规则,然后,在每一笔生意中,他们把大量的采用同一工艺的设计项目组合拼接在一起,终端用户只须按照它的设计在上所占的面积支付费用(一个错误的认识是费用是平摊给每一个MPW用户的——事实并非如此)。既然每个MPW客户需要的芯片数量可能从40到几千个不等,那么把掩膜成本降至单独进行每个项目时成本的几分之一的可能性是不证自明的。然而,MPW带来的好处还不止这些。

*由于MPW公司已经预定了晶圆,因此他们通常能够更快的把硅片交到你的手里,这要比你自己到foundry厂去排队快很多。

*MPW公司与foundries厂建立了密切的合作关系,他们能够使至关重要的芯片首次导入变得更简单。

*正是因为与foundry厂的这些关系,MPW公司也提供获得设计支持的基础设施包括工具、IP和设计规则校验(design rule check ,DRC)。

*因为MPW的芯片面积可大可小,这项技术可应用于从完整或部分电路的小批量的原型设计到大量的大规模样片,甚至是先期产品。

那么,当电路返回后如果没有设计缺陷,MPW提供进一步的便利,即返回的原型电路与即将商业化上市的芯片一模一样。它已经由一个根据合适的生产工艺选择的主要的foundry厂制造出来,不需要作进一步的修改和更动。

第三方服务提供商和MPW

现在让我们看看第三方服务提供商是如何工作的,对这些可交付使用的项目作更深一点的探索。

这些服务是由foundries 厂与MPW提供商合作一起作出的。以MOSIS为例,他的合作伙伴包括了TSMC、IBM、AMI半导体和奥地利微电子(Austria Microsystems),适用的制造工艺从90纳米到1.5微米,并且包括CMOS、BiCMOS 和SiGe。

一旦选定合适的制造工艺,MPW服务提供商就开始了在递交设计文件之前的下列步骤:它包括获得数字、模拟/混和信号的设计流量和完整的客制化电路,来自于EDA工具供应商如Cadence、明导科技和Tanner EDA;IP授权商如ARM;来自代工厂的SPICE参数文档。

然而,一旦设计文件准备好之后,MPW服务的核心内容就显现出来了。通常情况下需要按照统一的格式提交布图数据,提交方式可以是在线或非在线(根据提交方式的不同以及是否需要DRC,受理的最后截止日期也不一样)。

在这一环节上,MPW服务提供商将对设计进行一次“项目检查”。它将检查设计语法和图层名字,计算芯片的大小(最小的包围盒),计算焊盘的大小,核对项目的实际价值和审报价值是否一致。然而,虽然“项目检查”能够确定一项设计是否已做好充分准备可以进入制造环节,但是它不代表一次完整的DRC,MPW提供商有时会对已经确定交某一代工厂进行制造的设计项目提供很多免费的正式DRC,当然这需要符合一定的条件,如在截止日期之前按时提交布图数据等。

完成这一步之后,这项设计就会与其它的设计项目在一颗晶圆上进行排列,随后即被送往预定了晶圆的代工厂。对于那些不需要DRC或者其它预制造服务(pre-fabrication services)的设计,只要在MPW服务提供商设定的截止日期之前提交数据就可以了。

绝大部分的MPW服务提供商都提供很多种包装方案可供选择,这项作业是通过经过认证的包装和测试服务供应商组成的合作伙伴网络完成的。在某些情况下,客户可能需要尚未包装的芯片;另一些情况则不然,MPW服务提供商可以进行从焊盘到引脚(pad-to-pin)的分配。最后,由客户确认是否需要包装以及如何包装等。

在整个项目进行当中,可以通过多种形式为客户提供充分的支持。用户在提交设计文件之后可以在线跟踪项目进展情况,并且在项目的任何阶段都可以与室内支持团队进行联系沟通。客户也可通过网络访问用户组(user groups),在这里那些已经受益于MPW服务的公司将会与您分享他们的经验、技巧和故事。实质上,这是在展示MPW如何工作。整个流程如图1所示,它显示了上述服务项目适用的环节。它是一个简单但灵活的流程,它强化了,并且使你无法中断一颗芯片从布图到制造的过程。

图1  MOSIS流程图

成功案例

Elonics公司

Elonics是一家苏格兰的无晶圆厂半导体公司,专注于无线应用,如手持设备蜂窝电视(TV on cellular handsets)、基于无线USB和UWB的数字电视广播(DVB)等。它选择MPW帮助开发一款不需太大数量的单片芯片,作为应用于一定范围产品上的高性能射频(RF)测试模块(test blocks)。

设计一个采用CMOS工艺的这样的模块需要精确的建模和析取(modeling and extraction),以确保硅片的性能达到要求。还有来自选用合适的CMOS工艺所带来的挑战,对这种工艺的要求是不仅在技术上可行,还要使财务预算让公司能承受得起。作为一家初创型公司,Elonics必须强调这些问题,即采用一套既能够使技术风险最少化又能严格控制开发周期和成本的设计方法。业务经理Alf Sheppard解释说,“设计一款频率在3~10Ghz、采用CMOS工艺的尖端IC所带来的技术挑战很容易突破上述这些限制。这就需要很好的进行管理,而一种可行的方法就是选择MPW。”

当Elonics公司已经确定采用IBM的130纳米 CMOS工艺—带有RF选项(MIM电容,HA变容二极管,RF金属栈),在IBM的建议下,他找到了MPW。这位蓝色巨人说,与MOSIS和IBM在欧洲的EDA解决方案代理商合作,将是获得设计工具包和相关技术的最合适的途径,这些技术使产品开发以及随后导入原型电路,能够保持足够的灵活性和有效的成本控制。

以后的事实说明了Elonics能够达到的控制程度,即这家公司由于仅仅使用了一个晶圆面积上的10mm2,以此为基础支付了MPW服务的部分费用,获得了他所需要的硅片。Sheppard解释说选择这样一个尺寸有三个原因。

“首先,是基于成本考量,这是在不额外收费的情况下,我们能够使用的最大的裸片面积。第二,这个尺寸很容易适于目标包装。第三,这适合了我们要求的测试芯片的大小。”,他说。“MPW被运用于进行那些确定高风险的无线射频模块,并且其结果将被集成到我们正在开发的无线PHY中。我们成功进行了芯片测试并进行了关联芯片模拟结果测试。”

Elonics公司的经历突显了MPW的另一方面。成本控制仍是主要驱动因素,但是这个案例中客户能够利用MPW专注于模块级开发。对于那些如果“单独进行”会昂贵得令人难以接受的设计项目,只要有更有效的替代方案,就会使那些经过高难设计的创新成为可能。

结语

MPW让我们摆脱了因当今半导体产业NRE(一次性工程费用)不断上升所导致的诸多束缚而自由地创新,这也是解决创新问题的成熟对策。MPW在今天显得尤其重要。因为工程费用的上升正在威胁着这个产业的技术创新能力。 以上引用的案例来自于年轻的初创型公司,但是即使是世界最大的几个玩家也发现“单独进行”(go it alone)正越来越困难。在MOSIS,三分之一的生意来自于IDM,那些自身拥有晶圆加工厂的公司与其它公司一样面临着同样的财务挑战。此外,MOSIS一半的生意来自于雇员在500人或以上的公司。一个确凿的事实是很多业界的领导厂商已经在运用MPW取得竞争优势。

参考文献:
1. ‘Mask prices flatten but tool costs soar’, Mark LePedus, www.eetimes.com, March 15, 2006
2. Collett International Research, Inc. 2005 IC/ASIC Design Closure Study



评论


相关推荐

技术专区

关闭