新闻中心

EEPW首页 > 业界动态 > 博通扩展与新思科技在7纳米及5纳米设计方面的合作

博通扩展与新思科技在7纳米及5纳米设计方面的合作

作者:时间:2020-04-24来源:美通社收藏

本文引用地址:http://www.eepw.com.cn/article/202004/412353.htm

新思科技(Synopsys, Inc.)近日宣布与(Broadcom Inc.)扩展合作,助力基于Fusion Design Platform ™开发半导体解决方案,以解决7纳米及7纳米以下的一系列设计难题。

在7纳米设计多个成功经验的基础上,与新思科技进一步合作,部署了包括基于Fusion Design Platform进行的5纳米芯片设计。博通通过整合新思科技的工具、流程和方法,从最新的芯片工艺产品中获得最大的收益,并有效地为客户提供价值。

Fusion Design Platform旨在帮助设计团队以最收敛的方式实现最佳的功耗、性能和面积(PPA),来确保最快和最可预测的成果时间(TTR)。Fusion Design Platform跨越了测试插入和优化、RTL综合、布局布线以及设计的收敛和signoff,是一种高度融合的解决方案。Fusion Design Platform使可预测PPA达到了新的水平,从而解决了业界芯片设计的固有挑战。 

新思科技Fusion Design Platform的主要产品和功能包括:

•Fusion Compiler™ RTL-to-GDSII解决方案: 高度优化的全流支持,提供最佳设计可布线性和收敛以及最短的获得结果的时间 (TTR) 

•IC Compiler™ II布局和布线:EUV单曝光布线,提供优化的5LPE设计规则支持、单鳍单元多样化感知摆放合法化(single fin variant-aware legalization),以及过孔装订(via stapling),确保获得最大的利用率和最小的动态功耗 

•Design Compiler® NXT RTL综合: 结果的相关一致性、布线拥塞减少、感知引脚访问的优化、5LPE设计规则支持以及提供给IC Compiler II的物理指导 

•PrimeTime®时序signoff:近阈值超低电压变异建模,过孔变异建模以及感知布局规则的工程变更指令(ECO)指南 

•StarRC™寄生参数提取:支持基于EUV单曝光的布线,以及新的提取技术,如基于覆盖的过孔电阻和垂直栅极电阻建模



关键词: 博通

评论


相关推荐

技术专区

关闭