新闻中心

EEPW首页 > 模拟技术 > 新品快递 > GLOBALFOUNDRIES为下一代芯片设计而强化了14nm FinFET的设计架构

GLOBALFOUNDRIES为下一代芯片设计而强化了14nm FinFET的设计架构

作者:时间:2015-06-09来源:电子产品世界收藏

  ,世界先进半导体制造技术的领导者,今天宣布了其为14 nm 工艺技术而开发的强化过的设计架构,在帮助那些采用先进工艺技术设计的客户的进程上达到了一个关键里程碑。

本文引用地址:http://www.eepw.com.cn/article/275429.htm

  与重要合作伙伴Cadence,Mentor Graphics,以及Synopsys合作开发出的新型设计流程,实现了从RTL到GDS的转换。该流程包括了基于工艺技术的PDK和早期试用标准单元库,形成一个数字设计“入门套件”,为设计人员进行物理实现,并能针对性能、功耗和面积, 提供了一个可用的内置测试单元。

  设计部高级副总裁Rick Mahoney表示:“GLOBALFOUNDRIES致力于为客户提供先进的技术平台,其中包括高效率及完善的设计基础架构。为确保专为14nm 工艺技术提供的设计生态系统的最高品质体验,GLOBALFOUNDRIES与EDA合作伙伴展开合作,强化了自身的设计能力,并缩短了14nm 复杂工艺技术从设计到量产的时间。”

  GLOBALFOUNDRIES优化的数字设计流程解决了14nm FinFET技术节点对关键设计规则带来的挑战,包括新近引进的对离子注入和双曝光而敏感的布线规则、In-Design DRC™修正和良率补偿、局部/随机带来的时序变化、三维FinFET参数提取,以及色彩感知的LVS/DRC等新功能。

  基于Synopsys的设计入门工具(Design Enablement Starter Kit)利用其Galaxy™设计平台的强大功能,提供了正对性能、功耗和面积全方位优化的GLOBALFOUNDRIES 14LPP FinFET设计坊案。Synopsys的Design Compiler®图形合成,配合其Formality ®平衡检验方案,通过提供与物理实现密切相关的实际指导和结果,简化了这一流程。Synopsys IC Compiler™,IC Compiler II和IC Validator解决方案通过In-Design色彩感知物理验证为FinFET器件的实现提供了离子注入和双曝光感知的布线。Synopsys的StarRC™提取工具通过对色彩感知和三维模型,为14nm双曝光提供了支持。此外,业界标准Synopsys PrimeTime®可以对FinFET器件带来超低电压、更强的米勒效应和电阻率,以及工艺波动带来的变化, 进行精确的计算, 包括延时计算,时序分析及波形传播。

  为使客户在设计时获得GLOBALFOUNDRIES 14LPP带来的优越性,GLOBALFOUNDRIES和Cadence一起创造出了从RTL到GDSII的FinFET完整数字流程。该数字流程针对14LPP优化了Cadence的前端、后端、物理验证和DFM解决方案。对于设计前端,Cadence的RTL编译流程用14LPP单元库进行了微调。在物理实现方面,Encounter®数字实现系统(EDI)和Innovus™实现系统为校正布局和布线提供了色彩感知双曝光技术、并为14LPP设计规则和单元库提供自定义设置、借以优化功率、性能和面积(PPA)。同时应用In-Design PVS DRC纠正和In-Design曝光热点纠正方案可以帮助设计人员减少设计的反复次数并使得设计变得容易。对于签收,新的流程功能集成了Quantus QRC 参数提取和Tempus时序签收解决方案。EDI和Innovus的集成则允许Quantus和Tempus在布线过程中早期引入先进的工艺模型,以获得更佳时序收敛并加快完成设计。Encounter Conformal®等效检查隐含在设计流程的多个阶段。Voltus的功率和EMIR分析、独立物理验证、以及曝光热点检测也都隐含在参考流程之中。该参考流程提供了Cadence工具套件和GLOBALFOUNDRIES 14LPP工艺的指导方法,旨在确保设计人员用最少的学习时间最大限度地突出PPA的好处。

  如同应用在前一代工艺技术节点的出带,入门套件使用Mentor Graphics Calibre®工具集来签收。在14nm入门套件中,Calibre nmDRC™和Calibre MultiPatterning产品用于层分解、DRC验证和金属填充,而Calibre nmLVS™产品用于逻辑验证。

  作为行业最先进的技术之一,GLOBALFOUNDRIES 的14nm FinFET为高容量、高性能和低功耗SoC设计提供了一个理想的解决方案。14nm FinFET在高性能和低功耗特性上远超包括28nm在内的前代工艺,为满足不断增长的市场需求提供了理想的技术。 不仅如此,14nm FinFET还凭借其优越的低功率、高性能和小面积的特点给客户带来了成本优势。

  GLOBALFOUNDRIES 14nm FINFET 技术已经开始出产品,并将如期在2015年支持来自客户的多种产品的试产和产量。

  通过GLOBALFOUNDRIES设计合作伙伴的生态系统,设计人员将获得系统设计、嵌入式软件设计、SOC设计与验证,以及物理实现等广泛的服务。这包括设计自动化(EDA)和验证过的IP模块的设计流程、单元库等、工艺设计工具包(PDK)和技术支持文件等仿真与验证设计工具。



关键词: GLOBALFOUNDRIES FinFET

评论


相关推荐

技术专区

关闭