IC设计中所使用的EDA工具

俗话说“公欲善其事,必先利其器”。IC设计中EDA工具的日臻完善已经使工程师完全摆脱了原先手工操作的蒙昧期。IC设计向来就是EDA工具和人脑的结合。随着IC不断向高集成度、高速度、低功耗、高性能发展,没有高可靠性的计算机辅助设计手段,完成设计是不可能的。IC设计的EDA工具真正起步于80年代,1983年诞生了第一台工作站平台apollo;20年的发展,从硬件描述语言(或是图形输入工具)到逻辑仿真工具(LOGIC SIMUL ICATION),从逻辑综合(logic synthesis)到自动布局布线(auto plane route )系统;从物理规则检测(DRC ERC)和参数提取(LVS)到芯片的最终测试;现代EDA工具几乎涵盖了IC设计的方方面面。提到IC设计的EDA工具就不能不说cadence公司,随着compass的倒闭,它成为这个行业名副其实的“老大” cadence提供了IC design中所涉及的几乎所有工具;但它的工具和它的名气一样的值钱!现代IC技术的迅猛发展在EDA软件厂家中掀起并购、重组热潮。除CADENCE公司以外,比较有名的公司包括mentor,avanti,synopsys和INVOED A;mentor和cadence一样是一个在设计的各个层次都有开发工具的公司,而AVANTI因其模拟仿真工具HSPICE出名,SYNOPSYS则因为逻辑综合方面的成就而为市场认可。下面我们根据设计的不同阶段和层次来谈谈这些工具;

(1)输入工具(design input) 对自顶而下的()设计方法,往往首先使用VHDL或是VERILOG HDL来完成器件的功能描述,代表性的语言输入工具有SUMMIT公司的VISUAL HDL和MENTOR公司的RENIOR等。虽然很多的厂家(多为FPGA厂商)都提供自己专用的硬件描述语言输入,如ALTRA公司的AHDL,但所有的公司都提供了对作为IEEE标准的VHDL,VerilogHDL的支持。对自下而上的设计,一般从晶体管或基本门的图形输入开始,这样的工具代表性的有cadence公司的composer;viewlogic公司的viewdraw等,均可根据不同的厂家库而生成和输入晶体管或门电路相对应的模拟网表。

(2)电路仿真软件(circuit simulation)(分为数字和模拟两大类) 电路仿真工具的关键在于对晶体管物理模型的建立,最切和实际工艺中晶体管物理特性的模型必然得到和实际电路更符合的工作波形,随IC集成度的日益提高,线宽的日趋缩小,晶体管的模型也日趋复杂。任何的电路仿真都是基于一定的厂家库,在这些库文件中制造厂为设计者提供了相应的工艺参数;如TSMC0.18um Cu CMOS工艺的相关参数高达300个之多;可以用于数字仿真的工具有很多,先期逻辑仿真的目的只是为了验证功能描述是否正确。对于使用verilog HDL生成的网表,cadence公司的verilog-XL是基于UNIX工作站最负盛名的仿真工具;而近年随PC工作站的出现,viewlogic的VCS和mentor公司的modelsim因其易用性而迅速崛起并成为基于廉价PC工作站的数字仿真工具的后起之秀;对于VHDL网表仿真,cadence公司提供AFROG;SYNOPSYS公司有VSS,而mentor公司基于PC的MODELSIM则愈来愈受到新手们的欢迎。PSPICE最早产生于Berkley大学,经历数十年的发展,随晶体管线宽的不断缩小,PSPICE也引入了更多的参数和更复杂的晶体管模型。使的他在亚微米和深亚微米工艺的今天依旧是模拟电路仿真的主要工具之一。AVANTI是IC设计自动化软件的“英雄少年”,它的HSPICE因其在亚微米和深亚微米工艺中的出色表现而在近年得到了广泛的应用。cadence公司的spectre也是模拟仿真软件,但应用远不及PSPICE和HSPICE广泛;对于特殊工艺设计而言,由于它们使用的不是Si基bipolar或CMOS工艺,因而也有不同的设计方法和仿真软件;例如基于AsGa工艺的微波器件所使用的工具,较著名的有HP的eesoft等;

(3)综合工具(synthesis tools) 用于FPGA和CPLD的综合工具包括有cadence的synplify;synopsys公司的FPGA express和FPGA compiler;mentor公司的leonardo spectrum;一般而言不同的FPGA厂商提供了适用于自己的FPGA电路的专用仿真综合工具,比如altera公司的MAXPLUS2仅仅适用它自己的MAX系列芯片;而foundation则为XILINX器件量身定做...... 最早的IC综合工具应该是cadence的buildgates;而Cadence最新版本的Envisi a Ambit(R)则在99年在ASIC international公司成功用于240万门的设计。使用较广泛的还有synopsys的design compiler和behavial compiler;基于不同的库,逻辑综合工具可以将设计思想转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。最终仿真结果生成的网表称为物理网表。

(4)layout工具和自动布局布线(auto plane route)工具

cadence的design framework是常用的基于UNIX工作站的全定制设计的布局布线软件,和silicon ensemble,Envisia place route DSM; (cadence的版图输入工具Virtuoso)(5)物理验证(physical validate)和参数提取(LVS)工具依然可以分成为ASIC和FPGA两大类。ASIC设计中最有名、功能最强大的是cadence的DRECULA,可以一次完成版图从DRC(设计规则检查),ERC(电气特性检查)到LVS(寄生参数提取)的工序;DIVA作为其相对较弱的软件多提供给教学用途;AVANTI的STAR-RC也是用于物理验证的强力工具,而hercules则是其LVS的排头兵。如同综合工具一样,FPGA厂商的物理验证和参数提取多采用专门的软件、并和其仿真综合工具集成在一起。ALTERA-p.htm" target="_blank" title="ALTERA货源和PDF资料">ALTERA的MAXPLUS2和XILINX的FOUNDATION是这样的典型;

(6)由于VLSI尤其是ULSI电路的预投片费用都相当的高(如TSMC 0.25um CMO S 工艺一次预投片的费用为100万美圆,而0.18um Cu CMOS 3.3V工艺的一次预投竟高达300万美圆)。因而对ASIC芯片,要求芯片设计尽量正确。最好完全消灭错误;解决功耗分析;生成用于芯片测试目的的特殊测试电路;因应这一要求,也产生了一些特殊的EDA工具,以完成诸如power analysis、故障覆盖率分析、测试矢量生成等目的。现代VLSI特别是ULSI IC的迅速发展,正是依靠EDA工具在亚微米和深亚微米技术上的进步及其对应工艺水平的提高。应该说没有EDA工具就没有IC;

4.设计团队(design term or group)

(FIG1 是IC设计的流程图)上面我们主要讲了IC设计对研发项目和EDA工具的要求,那么有了切实可行的项目和完整可靠的EDA工具,如何将它变成为产品呢?集成电路设计和足球比赛一样是一个最能体现人类合作精神和智慧的工作了,只是他有更深刻的科技涵义罢了。就如同没有任何一个英雄可以创造足球场上的神话一样(当然一边倒的比赛除外)一个结构合理的研发队伍是产品“成败的关键”;一般而言,一片IC可以从大的方面分成两部分:即数字(Digital)和模拟(An aloge) 电路部分。实际上真正的IC设计应该是ASIC设计,而FPGA或PLD设计更倾向于系统级的设计;可以这样说:一个做IC设计的工程师至少应该是学半体出身的,他更多的是在和诸如晶体管,版图这样的东西打交道;而一个做FPGA的工程师只须懂得硬件描述语言,他只要将行为级描述使用特殊的FPGA 工具写入到FPGA或PLD中即可。因而本部分将着重于ASIC来说IC设计;

(1) 模拟工程师(analog design engineer)在term中主要完成模拟电路的设计,如收发器(transreceiver)、高频锁相环、A/D D/A转换器、放大器等这些无法用数字方法实现的电路,必须用晶体管来搭建。而对于在厂家库中所没有提供的基本门也必须使用晶体管来实现。现代IC设计的发展已经使得工程师只须在厂家提供的库的基础上调整晶体管的宽长比(W/L)来决定晶体管特性。(FIG1是一个基于0.18um 工艺可以达到2.5G频率的D触发器参数图,它采用TSMC的0.18um制程库;而图FIG2则是使用AVANTI公司的HSPICE98.4 version模拟仿真工具所生成的波形图;从图中我们可以发现这个电路设计可以很好的运行在2.5G的高频下,并句有很好的上升和下降沿;USB接口芯片中的transreciever部分就必须是模拟工程师根据USB协议中要求发送和接收的物理和电气特性来采用合适的晶体管电路实现; (2) 在一片功能IC中,大部分是数字电路设计。数位工程师(digital design e ngineer)正是使用verilog HDL或是VHDL语言来完成芯片的功能描述;使用modelsim 这样的仿真工具来完成逻辑验证;然后再使用design compiler这样的综合工具来将行为描述(behaviral descriptor)转化成门级网表(net gate)以便layout工程师可以使用布局布线工具将它转化成版图;下面是在USB芯片中要用到的,一个产生CRC5 校验码模块的verilog HDL描述:

module crc5(sysclk,nfsr,address_endpoint,sout_crc5,en_crc5);

input[1:0] nfsr; input sysclk,en_crc5; input[10:0] address_endpoint;

output[4:0] sout_crc5;

wire[4:0] sout_crc5;

reg[4:0] register5a,register5b; reg[3:0] i; reg[1:0] j;

assign sout_crc5=~register5a;

always @(posedge sysclk)

begin

if ((nfsr==2'b0)||!en_crc5) // if reset or soft_reset;

begin j=0; register5a=5'b11111; registe

晶体管相关文章:晶体管工作原理


晶体管相关文章:晶体管原理

上一页 1 2 下一页