新闻中心

EEPW首页 > EDA/PCB > 业界动态 > (2022.7.4)半导体周要闻-莫大康

(2022.7.4)半导体周要闻-莫大康

作者:时间:2022-07-06来源:求是缘半导体联盟收藏


本文引用地址:http://www.eepw.com.cn/article/202207/435958.htm

周要闻 2022.6.27- 2022.7.1

1. 魏少军教授:中国集成电路产业的再破局

魏教授表示,美国现在包括中国台湾、日本和韩国建立“Chip4联盟”在内的种种动作,似乎都是想将中国排除在全球供应链之外。


在这种形势下,我们更需要重新审视中国产业的未来。


在持之以恒的投入下,中国集成电路产业的实力正在不断上升,但自给率依然不足。据魏教授介绍,我国的集成电路自给率只能做到12.5%,“有人说,这是不是意味着我们可以拼命投资集成电路制造呢?也不尽然,因为如果这样做,我们可能很快就会出现局部产能过剩的局面,这一点也需要我们认真关注。”魏教授提醒说。


国内也曾出现以互联网产业的发展模式探索半导体产业的发展之路,但发现这是行不通的。”魏教授举例说。


在魏教授看来,我国集成电路要先实现再破局,扩充产能是一个迫在眉睫的事情。“我们现在产能缺得太厉害了”,魏教授强调。据他所说,我国现在的芯片产能大概只有50万片左右/月,但实际上我们需要150万片。换而言之,我们现在只能满足50%,亟待补上短缺的部分。


2. 美媒:美芯片战略举步维艰

在美国总统拜登可能迎来灾难性的中期选举之际,他仍有最后一次机会来表明自己有能力推动国会机器通过一项得到两党支持的、旨在支撑美国技术优势的宏伟计划。


这项耗资520亿美元、旨在大力推动美国半导体行业发展、保持美国对华技术优势的开创性计划,有一个朗朗上口的名字:《2022年美国竞争法案》。可是,这项工作因为无休止的拖延和政治口角而举步维艰。迄今为止的情形恰恰告诉我们,美国为什么难以发挥竞争力:它们破碎的政治体制导致这项工作几乎成为不可能。


最初,这件事的发展势头似乎锐不可当。2021年6月,参议院以68票对32票的压倒性优势通过所谓的“芯片法案”。不久后,两党基础设施法案在参议院获得通过。那一刻,拜登似乎可以像其承诺的那样,让美国政治体制再次运转起来以解决重大问题。


英特尔公司曾表示,如果能够得到国会支持,英特尔将把计划耗资200亿美元的俄亥俄州芯片厂扩建为1000亿美元的规模。但不知出于什么原因,美国总统没能推动众议院民主党领导层采取行动,没能把自己的言语变为现实。


3. 3纳米芯片工艺节点所面临的技术难点实现难度大幅增加

有一些领域的应用需要最新的芯片工艺流程,例如机器学习,服务器和智能手机。“当然,我们这些用于半导体制造的软件肯定需要更多的计算能力。如果我们今天有10倍的相同成本,我们也会喜欢的,因为这是新兴技术领域发展中所遇到的正常现象,可以说所有其他科学和工程计算领域都处于类似的情况,“D2S首席执行官Aki Fujimura说。


在领先优势方面,多年来该行业一直在努力跟上这一技术发展需求。在每个工艺节点上,芯片制造商已将晶体管规格缩小了0.7倍,使业界在每一次芯片工艺节点转换中性能提高15%,成本降低35%,面积增益降低50%,功耗降低40%。


2011年发生了重大飞跃,当时英特尔从平面晶体管转向22纳米finFETs,随后芯片代工厂以16nm / 14nm FinFETs工艺跟进。FinFETs三极管技术以更低的功耗提供更高的性能。


但是在每个工艺节点上,finFET的工艺成本和复杂性都在飞涨,所以现在完整工艺节点的扩展节奏已经从18个月延长到2.5年甚至更长的时间。另外,很少有芯片代工厂客户可以承担迁移到更先进工艺节点上的费用。


展望未来,由于成本的原因,客户可能会停留在某些节点上。例如,7nm finFET为大多数应用提供足够的功率,性能和面积缩放优势。GlobalFoundries首席技术官Gary Patton表示:“7nm将成为一个长寿命的工艺节点。


Imec半导体技术和系统执行副总裁An Steegen表示。“今天在3纳米工艺节点的时候,finFETs正在开始挣扎。因此,在3纳米处,我们需要为finFET找到一个真正的性能增强器,或者我们需要对纳米片进行改变。“


而现在纳米线(nanowire)仍然可行,但纳米片(nanosheet)正在越来越受到重视。使用当今的技术,TFET和垂直FET(vertical FETs)实现起来仍太难了。


在这两种技术中,纳米片FETs(nanosheet FETs )有一些优点。“这是全栅(gate-all-around)最现实的结构。它将包括具有可变纳米片(nanosheet)宽度的纳米片(nanosheet),以及超过90%与finFETs兼容的工艺,“S.D.三星公司逻辑部的高级副总裁Kwon说。


4. 台积电:中国场技术论坛南京28nm扩产进度,2nm新材料五大特色工艺

一如往昔由台积电总裁魏哲家进行开场。他指出,全球半导体产业产值将在 2030 年达到一万亿美元,高速发展的同时也带来很多挑战,像是地缘政治之故,供应链不约而同提高库存且进行在地化生产。另外,全球客户对成熟制程产能需求强劲,但兴建成熟制程产能的高成本问题,唯有大家齐心合作才能克服挑战。


图片

图片

图片   

N2制程技术:将采用崭新的晶体管架构Nanosheet,N2和N3E相比,在同样功耗下速度增加15%,或是相同速度下,功耗会降低30%,同时芯片密度也将明显进度。N2预计在2025年开始量产。


N2的创新功能:在高效能运算平台上N2将提供晶圆背面的电源网络(backside Power),以全力支持小芯片(Chiplet)整合,这些创新可以帮客户提升产品整体性能,特别是速度和功耗。整体来看,N2会更好满足未来半导体产品创新应用的需求。


半导体新材料的研发:在高速芯片设计中,低电阻和低电容的金属变得越来越重要,台积电正在研发一种新的制程工艺,用mental-RIE金属反应离子式刻蚀,这个新技术可以在导线之间形成真空(airgap)隔离,降低20~30%导线的有效电容。在导线方面,近几十年一直以铜为主导材料,当铜导线的厚度小于电子,电阻值会急剧增加,这是未来半导体技术演进的障碍。目前,台积电正在研究一种新的2D材料,有机会代替铜作为导线。由于2D材料具备独特的传导性能,因此电阻不会受到导线变薄的影响,从而保证芯片的高速运算性能。


5. 全球首家三星宣布已量产 3 纳米芯片

三星电子有限公司周四宣布,该公司已经开始在其位于韩国的华城工厂大规模生产 3 纳米半导体芯片,是全球首家量产 3 纳米芯片的公司。与前几代使用 FinFET 的芯片不同,三星使用的 GAA(Gate All Around)晶体管架构,该架构大大改善了功率效率。


三星公司在一份声明中说,与传统的 5 纳米芯片相比,新开发的第一代 3 纳米工艺可以降低 45% 的功耗,性能提高 23%,并减少 16% 的面积。三星还称,第二代 3 纳米 GAA 制造工艺也正在研发中,这种第二代工艺将使芯片功耗降低达 50%,性能提高 30%,面积减少 35%。


6. 苹果或搞不定5G基带芯片

郭明錤补充道,根据此前高通与苹果达成的协议,在2024年前,高通将向苹果提供部分,而非全部的5G基带芯片。由此,预计苹果将继续开发5G基带芯片。从高通方面来说,郭明錤称,等苹果在5G基带芯片领域成功并可取代高通时,高通新业务预计也发展到足以显著抵消iPhone 5G芯片订单损失带来的负面影响。


据悉,该消息源自天风国际分析师郭明錤在推特上的最新爆料。郭明錤表示:最新调查表明,苹果自研iPhone 5G基带芯片计划可能已经失败,因此高通将继续成为2023年新iPhone的5G基带芯片独家供应商,供应份额为100%(高通此前估计为20%)。


7. 12英寸产能扩充急用于PMICMCU驱动IC等成熟工艺产品

根据TrendForce集邦咨询资料显示,2022年全球晶圆代工产能年增约14%,其中八英寸产能因扩产较不符合成本效益,增幅远低于整体产业平均,年增约6%,而十二英寸年增幅则为18%。其中,十二英寸新增产能当中约65%为成熟工艺(28nm及以上),该工艺产能年增率达20%,显见2022年各晶圆代工厂多半将扩产重心放置于十二英寸晶圆产能,且以成熟工艺为主轴,而主要扩产动能来自于台积电(TSMC)、联电(UMC)、中芯国际(SMIC)、华虹集团(HuaHong Group)旗下HHGrace,以及合肥晶合集成(Nexchip)。


8. Intel and TSMC Sales


图片

 

9. Intel capital spending forecast

 

图片


10. Intel 2021各部门业绩

 

图片


11. ASML EUV Shipment forecast


图片

 

12. 从主流晶圆厂Q2招中标数据看国产设备厂商的突破

今年二季度,上海积塔半导体、华虹半导体、燕东微电子、杭州积海半导体等企业合计招标1930台设备。


从国内中标的设备厂商来看,集微网根据招标平台数据整理统计,今年二季度,北方华创、中微半导体、芯源微、Tokyo Electron(东京电子)、ASML等企业合计中标643台设备,其中,国产设备厂商合计中标387台设备。


北方华创共中标80台设备,中微半导体共中标22台设备,芯源微共中标24台,屹唐半导体共中标12台,拓荆科技共中标11台,中科飞测共中标7台,上海微电子共中标6台,华海清科共中标8台;从国外设备厂商来看,ASML共中标7台设备,泛林半导体共中标16台设备,KLA共中标18台设备,应用材料共中标21台设备,东京电子共中标87台设备。


在光刻环节,ASML中标5台光刻机,占据行业主导地位;上海微电子中标1台步进式光刻机。据了解,上海微电子SSX600系列步进扫描投影光刻机可满足IC前道制造90nm、110nm、280nm关键层和非关键层的光刻工艺需求。此外,今年2月,上海微电子成功交付首台2.5D3D先进封装光刻机,这对国内集成电路行业有着很大的意义。


13. 汽车芯片自给率低,对国内汽车产业的影响不小

数据显示,2019年全球汽车芯片市场规模约3300亿元,其中,中国自主汽车芯片产业规模不到150亿元,仅占全球的4.5%。IC Insights预测,2021年中国汽车芯片自给率依然不足5%。


魏少军认为,缺芯表象背后的根本原因是全球半导体供应链体系被打乱,未来半导体供应链结构性混乱会长期存在。他表示,今天不能再以加工为中心,而要以产品为中心,这意味着整个产业模式要转变。


在业内人士看来,目前汽车领域紧俏的芯片主要种类不是先进制程工艺,而是成熟制程类产品——主要包括主控芯片MCU、功率类电源芯片和驱动等,占据芯片紧俏74%的比例,其次是信号类芯片CAN/LIN等总线收发器。其中紧俏芯片种类涉及的原厂主要包括NXP(恩智浦)、TI(德州仪器)、ST(意法半导体)以及英飞凌等传统汽车芯片企业。


14. 环球晶圆拟建12英寸硅片厂

6月27日,环球晶圆宣布,将在美国德克萨斯州谢尔曼市(Sherman)新建一座12英寸半导体硅片厂。


根据环球晶圆披露,该座12英寸硅片厂预计总投资将达到50亿美元,初期的投资20亿美元,新厂房将依客户长期合约需求数量分阶段建设,设备也陆续进驻,待所有工程竣工后,最高产能可达每月120万片,产能将于2025年开出。


而近年来,台积电、格芯、英特尔、三星、德州仪器等国际级大厂纷纷宣布在美国本土扩产,美国对半导体硅片的需求也进一步提升。如今,随着环球晶圆12英寸硅片厂选址美国,也将进一步弥补半导体供应链的关键缺口...详情请点击《全球新增30余家12英寸芯片制造厂,硅片厂扩产同步启动》


15. 华虹无锡粤芯半导体进账

6月29日,华虹半导体在港股公告称,公司当日与华虹宏力,无锡实体、大基金、大基金II及华虹无锡订立了注资协议。根据协议,华虹半导体董事会已有条件同意华虹无锡的注册资本将自18亿美元增至约25.37亿美元,其中华虹半导体、华虹宏力、无锡实体及大基金II各自分别以现金方式出资约1.78亿美元、2.3亿美元、1.6亿美元及2.32亿美元。


6月30日,粤芯半导体宣布,公司于近日完成了最新一轮融资,金额达45亿元,由广东省半导体及集成电路产业投资基金和广汽集团旗下广汽资本联合领投,并引入上汽、北汽等车企旗下产业资本,以及越秀产业基金、盈科资本、招银国际、盛誉工控基金等战略投资股东;同时,还获得了多家既有股东持续追加投资。


粤芯半导体表示,本轮融资将用于粤芯半导体新一期项目建设。融资完成后,粤芯半导体将继续聚焦12英寸模拟特色工艺,专注于工业级、车规级中高端模拟芯片市场。  



关键词: 半导体 莫大康

评论


相关推荐

技术专区

关闭