新闻中心

EEPW首页 > EDA/PCB > 设计应用 > 基于DDS技术三相功率可控PWM信号的FPGA实现

基于DDS技术三相功率可控PWM信号的FPGA实现

作者:时间:2011-05-18来源:网络收藏


摘要:本文利用技术实现了高精度、高分辨率的三相脉冲信号,并通过AGC程控放大技术实现对信号的功率可控。本设计具有控制灵活,输出频率稳定和范围宽等优点,具有广阔的应用价值。
关键词:现场可编程门阵列;直接数字频率合成;功率放大可控;脉宽调制

0 引言
脉宽调制技术()目前广泛应用在电力、电子、微型计算机、自动控制等多个学科领域。本设计采用基于的直接数字频率合成()技术,通过D/A转换进行程控放大,实现了可控的PWM信号。

1 系统的设计原理和实现过程
1.1 的设计原理
直接数字频率合成器(DDS)的组成见图1。fc为时钟频率,K为频率控制字,N为相位累加器的字长,W波形存储器地址线位数,L为ROM数据线宽度(一般也为D/A转换器的位数),fo为输出频率。相位累加器按照时钟脉fc的时序,对输入频率控制字K进行累加,相位累加器的输出作为波形存储器的地址输入。相位累加器的输出对应于该时刻合成周期信号的相位,由于N位累加产生溢出,因而相位是周期性的,在0~2π范围内变化。2π/2N rad是最小的相位增量,完成一整周的正弦波输出需要经过2π/(K×2π/2N rad)个系统时钟周期。因此,可以得到输出波形的频率fo为:

本文引用地址:http://www.eepw.com.cn/article/191205.htm

b.JPG

而DDS的最小频率分辨率(即最低的合成频率)为
a.JPG
最高的基波合成频率受奈奎斯持抽样定理的限制(至少每周两次抽样才能重构波形)。

a.JPG


由此可以看出,DDS具有高频率分辨率的特点。在fc固定时,取决于相位累加器的位数N,只要N足够大,理论上就可以获得相应的分辨精度,这是传统方法难以实现的。DDS中相位改变是线性过程,其相位误差主要依赖于时钟的相位特性,相位误差小,形成的信号具有良好的频谱特性。
1.2 用和DDS技术产生三相PWM的原理
本设计需要设计能够输出三相的PWM信号。根据DDS的原理,相位累加器的输出对应于该时刻合成周期信号的相位,并且相位具有周期性,在0~2π范围内变化。因此设置相位累加器的初始值,就可以使产生的信号具有不同的初相位。

pwm相关文章:pwm原理



上一页 1 2 3 下一页

关键词: FPGA DDS PWM 三相功率

评论


相关推荐

技术专区

关闭