首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> dds

DDS直接数字合成

  • DDS 是一种用于创建信号发生器的好方法。项目介绍任意信号相位累加器插值
  • 关键字: FPGA  DDS  直接数字合成  

DDS直接数字合成4 - 插值

  • 现在,虽然相位累加器非常精确,但输出受到查找表中条目数量有限的影响:从一个条目转到下一个条目时,输出值会“跳跃”。 这对于低输出频率特别敏感,但也会影响高输出频率,这会在输出频谱中引入不需要的频率。我们将解决这个问题。 为了便于理解,让我们回到 15 位相位累加器。// sine without linear interpolationreg [14:0] phase_acc;    // 15bitalways @(posedge clk) phase_acc <= phase
  • 关键字: FPGA  DDS  插值  

DDS直接数字合成3 - 相位累加器

  • DDS的第二个技巧是长相位累加器。 它允许来自DDS输出的信号频率非常灵活。我们将通过一个示例了解它是如何工作的。 让我们从这个简单的代码开始。reg [10:0] cnt;   // 11bit counteralways @(posedge clk) cnt <= cnt + 11'h1;sine_lookup my_sine(.clk(clk), .addr(cnt), .value(sine_lookup_output));计数器实际上是一个“相位累加器”。 那是因为它每次
  • 关键字: FPGA  DDS  相位累加器  

DDS直接数字合成2 - 任意信号

  • 为了生成任意信号,DDS 依赖于两个主要技巧。LUT第一个 DDS 技巧是 LUT(查找表)。 LUT 是一个表格,用于保存我们想要生成的模拟信号的形状。在FPGA中,LUT是作为blockram实现的。 在上图中,我们使用了 512x10 位 LUT,它通常适合一个或两个物理 FPGA 模块。正弦波最常产生的信号形状是正弦波。 它很特别,因为它有两个对称性,可以很容易地利用它们来使 LUT 看起来更大。在正弦波中,第一个对称性是sin(α)=sin(π-α)。假设我们的 “my_DDS_LUT” blo
  • 关键字: FPGA  DDS  LUT  

DDS直接数字合成1 - 简介

  • 让我们看看FPGA DSS实现是多么容易。DAC接口好的,您的新FPGA板具有快速DAC(数模转换器)模拟输出。 下面是一个运行频率为10MHz的100位DAC的电路板设置。在100MHz频率下,FPGA每10ns向DAC提供一个新的10位值。DAC输出模拟信号,对于周期性信号,奈奎斯特限值表示可以达到高达50MHz的速度。一个简单的DDSDDS 通常用于生成周期性信号。 现在,让我们尝试一些简单的东西并生成一个方波。module SimpleDDS(DAC_clk, DAC_data);input DA
  • 关键字: FPGA  DDS  DAC接口  

浅析 DDS 直接数字频率合成技术

  • 直接数字频率合成技术 (Direct Digital Synthesis),简称 DDS,它是一种基于数字电子电路的频率合成技术,用于产生周期性波形,通常应用在一些频率激励 / 波形发生、频率相位调谐和调制、低功耗 RF 通信系统、液体和气体测量;还有接近度、运动和缺陷检测等传感器场合也可以找到 DDS 的身影。总体而言,目前从低频到几百 Mhz 的正弦波、三角波产生,绝大多数都使用了 DDS 芯片。本文将由ADI代理商骏龙科技的工程师Luke Lu引领大家更进一步地了解 DDS。DDS 的核心思想对于一
  • 关键字: DDS  AD9834  电子电路  

使用基于Raspberry Pi的DDS信号发生器实现精确RF测试

  • 在涉及射频(RF)的硬件测试中,选择可配置、已校准的可靠信号源是其中最重要的方面之一。本文提供了基于Raspberry Pi的高度集成解决方案,其可用于合成RF信号发生器,输出DC至5.5 GHz的单一频率信号,输出功率范围为0 dBm至-40 dBm。所提出的系统基于直接数字频率合成(DDS)架构,并对其输出功率与频率特性进行了校准,可确保在整个工作频率范围中,输出功率保持在所需功率水平的±0.5 dB以内。简介RF信号发生器,尤其是微波频率的RF信号发生器,以前通常是基于锁相环(PLL)频率合成器1来
  • 关键字: ADI  RF测试  DDS  

Indy自动驾驶汽车挑战赛采用RTI软件制造赛车并投入竞赛

  • 全球最大的智能机器及应用系统软件框架提供商RTI公司近日宣布,与Indy自动驾驶汽车挑战赛(Indy Autonomous Challenge ,IAC))携手合作,推进相关技术研发,同时助力汽车行业新一代领先者脱颖而出。Indy自动驾驶汽车挑战赛是一项为期两年、奖金高达150万美元的赛事。RTI公司为全球500多名学生提供软件,支持他们对Dallara IL-15赛车的控制系统进行改造以实现完全自动驾驶。学生们将于2021年10月在全球最大的赛车场——印第安纳波利斯赛车道(Indianapolis Mo
  • 关键字: IAC  DDS  

RTI公司加入百度阿波罗自动驾驶合作伙伴生态系统

  • RTI公司(Real-Time Innovations) 近日宣布加入百度阿波罗自动驾驶合作伙伴生态系统。RTI公司是最大的智能机器-现实系统互连软件框架提供商。阿波罗是百度公司针对无人驾驶汽车提供的开源全栈软件解决方案。RTI公司将与原始设备制造商、一级供应商、开发者平台和创新企业组成精英团队,共同努力加速阿波罗无人驾驶操作平台及自动驾驶技术的开发和应用。百度公司从2013年开始研发自动驾驶技术,其阿波罗计划已经成为全球规模最大的开源自动驾驶平台。阿波罗拥有强大的全球生态系统,包括全球各地近200家合作
  • 关键字: OEM  IIoT  AVP  DDS  

Kubernetes:如何改善大型DDS系统中的软件交付

  • 系统管理员一致认为:部署和管理大型分布式系统非常复杂。如果您有数百个应用程序,则很难手动执行所有操作。为了克服这个问题,容器技术已被广泛应用于各种行业的大规模分布式系统中。 容器是打包应用程序及其依存关系的软件部署单元。容器技术对于数据分发服务(Data Distribution Service™)系统可能很有用,尤其是在您拥有大型系统并且需要远程部署、更新和扩展它的情况下。在这方面,RTI公司已经探索Docker和Kubernetes已有相当一段时间了。 作为RTI研究团队内工作的一
  • 关键字: DDS  CNCF  NAT  

Teledyne e2v 提供客户抢先实验最新的 Ka 频段 DAC 板级硬体

  • Teledyne e2v 今日再次拓展旗下的数位类比转换器(DAC)IC 产品。透过其附带的评估平台,工程师可以提早将新的硬体应用于设计专案中。该公司将在近期开始提供第一波的 EV12DD700 双通道 DAC 样本,其运作频率最高可达 Ka 波段。此 DAC 支援波束成形应用,主要用于任务关键性的微波系统。其拥有 25GHz 的输出频宽与仅仅 3dB 的衰减值。在衰减值仅些微高于 3dB 的情况下,频宽可更进一步大幅提升。每一个 DAC 皆内建一系列发展成熟的信号处理功能,包括可程式化的 an
  • 关键字: DAC  DDS  RF  

RTI公司在DistribuTECH国际大展上演示电动汽车和即插即用DER解决方案

  • 工业物联网(IIoT)互联解决方案提供商RTI公司近日在DistribuTECH 2020国际大展的展览和演示了两个基于数据分发服务(DDS)标准的互操作性解决方案,有效地支持输变电网克服现代化进程中所遇到的挑战。Distributech 2020于1月28日至30日在德克萨斯州圣安东尼奥市举行,是全球领先的年度输电及配电行业大型会展活动。做为一项全球性年度盛事,DistribuTECH汇集了行业思想领袖以及全球公用事业、产品和服务提供商,共同应对电力行业遇到的最严峻挑战。RTI公司在所展示的解决方案旨在
  • 关键字: lloT  DDS  

RTI公司聘任Stuart Kozlick为咨询委员会成员

  • 工业物联网(IIoT)互连性解决方案提供商RTI公司近日宣布,聘任新一代微创心脏泵开发商Puzzle Medical Devices公司首席执行官Stuart Kozlick为RTI咨询委员会的最新成员,为RTI公司医疗行业市场运营提供咨询顾问。Stuart Kozlick将以其丰富的行业专业经验,帮助RTI公司捕捉战略发展机遇,扩大在IIoT行业的影响力。作为一名经验丰富的高管,Stuart Kozlick在医疗设备开发、创新和研究方面拥有超过17年的经验,能够非常好地胜任其在RTI公司咨询委员会的职务
  • 关键字: IIoT  DDS  

基于DDS技术的杂散分析及抑制方法

  • 频率合成技术起源于二十世纪30年代,当时所采用的频率合成方法是直接频率合成。它是利用混频、倍频、分频的方法由参考源频率经过加、减、乘、除
  • 关键字: DDS  杂散分析  方法  

太阳能光伏汇流箱监测系统设计

  • 摘要 光伏汇流箱是光伏发电的重要组成部分,主要用于太阳能光伏组件与直流控制柜间的连接。文中设计的光伏汇流箱主电路以单片机STC12C5A60S2为控制核心,由电流检测电路、温度检测电路、通信电路和电源电路等组成,主
  • 关键字: DDS  AD9852  传感器  电源  
共260条 1/18 1 2 3 4 5 6 7 8 9 10 » ›|

dds介绍

DDS的简单介绍   DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。   一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算 [ 查看详细 ]

热门主题

关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473