新闻中心

EEPW首页 > EDA/PCB > 漫谈SoC 市场前景

漫谈SoC 市场前景

——
作者:欧文时间:2006-11-30来源:电子技术收藏



据预计2011年全球消费性电子系统芯片产量,将从2005年的11.1亿成长到17.7亿,复合年成长率约为6.9%。这反映出消费性IC市场正进入“更加成熟的阶段”。视频处理等技术与应用,对快速扩展的数字功能的支持,视频合成、人工智能,以及得到改善的功耗与成本效率,将为该领域的成长提供核心动力。消费电子IC将继续向着功能整合的方向发展,在一个单一芯片或平台上整合多个可程序及固定功能核心。整合度的提高,将有助于改善成本、尺寸和功耗性能,同时保留灵活性并使厂商能够透过软件升级来迅速地向市场推出产品。 


的架构规划 

系统单芯片()为何重要钥从手机的应用需求与相应的内部系统变革可以看的很清楚目前的手机功能日新月异。从单纯的通讯手机已摇身一变成为多功能的随身小型计算机,不仅可以照相、听音乐、玩游戏、收发邮件、GPS定位。不久的未来。 
连看电视都可以在手机上实现。不过,一台手机的大小并没有太大的改变,要如何在这小小的空间中塞入无止境的新应用需求,当然只有不断地进行硬件组件的整合以缩小尺寸,而就成了必然的选择途径。目前手机组件厂商皆致力于整合内部的基频、射频及被动等组件,基本上属于数字逻辑的尽量放一起,属于模拟的混合信号、RF等组件,则另行整合。 
手机SoC的整合性突破 

以多媒体应用功能来说,过去为了实现照相功能,手机内得建置专门进行相片影像处理的芯片,后来,此功能被整合到数字基频当中。现在随着多媒体应用的多样化与重要性提升,包括照相、游戏、音乐、视频等应用所需的音频、视频、绘图等处理功能,已独立出一个应用处理器来执行这些应用,此处理器通常有一个RISC 渊ARM为主流冤主处理器,用于控制功能,其他的音、视频等数字信号则交给另一个 
DSP或专属加速器来进行运算。在射频部分,目前相当值得关注的是TI提出的数字射频处理器 (Digital RF Processor, DRP) 架构。射频组件一向占据手机电路板上近半的空间,DRP技术则可以利用低功耗数字CMOS逻辑执行模拟功能,进而减少射频功能所需的组件数。此举能大幅缩小电路板中射频功能占用面积,让出更多空间给彩色屏幕、相机、GPS定位技术、局域网络和其他多种数字音频、视频应用。
另一个成功的案例是SiliconLabs提出的AeroFONE 单芯片话机。Silicon Labs以CMOS制程的收发器技术在手机市场闯出一片天,而在此技术背景下推出的单芯片话机,将包括电源管理单元(PMU)、电池接口和充电电路、数字基频﹑模拟基频和四频RF收发器等主要的手机功能都整合到单芯片CMOS IC 中,一举从典型的BOM表删去印刷电路板(PCB)上的200多个插件,让GSM/GPRS 手机设计、制造的总成本及及测试和校准时间能大幅下降。相较于市场上的其它整合基频解决方案,它可减少了大约75%的组件数量、65%的电路板面积以及50% 的制造成本。
 
SoC市场趋势 

具备处理、逻辑、记忆、接口等功能的系统单芯片(System-on-chip, SoC)在多年的发展后,目前已是芯片产业设计的一大趋势。SoC 发展的驱动力同时来自于供应与需求端院在供应端因制程技术不断朝微缩化纳米技术发展,单位面积中的电路闸数不断增加,也让一个芯片中能容纳更多的功能。需求面则来自可携/行动式产品对小尺寸硬件的需求,以及电子产品对成本的计较。现在最大宗的SoC应用市场自然是非手机等行动产品莫属,但仍有不少其他的市场对SoC需求若渴,如储存、游戏机、显示器、绘图卡、汽车、PC/Notebook、宽带远程接取、数字音频播放器、DVD等等。 

不过,从制程、工具到系统规划,SoC组件开发需掌握的环节众多,例如在设计上必须考虑硬件线路的接口连结、在高负载时的总线和内存频宽是否足够,以及软件与硬件的系统整合等问题,因此其开发上的难度似乎有增无减。目前有能力推出复杂SoC功能芯片的厂商,仍以国际性IDM大厂居多,知名的芯片包括Philips的 
Nexperia、TI的OMAP和Panasonic的UniPhier等。这些厂商为了开发其SoC系列,投入的研发费用动辄上亿美元,这是中小型芯片公司难以跨越的门坎。
 
SoC的开发议题
 
无疑地手机是电子产业中SoC技术发展的第一战场,业者只要能通过此一市场的历练并挣得一席之地,同样的SoC开发经验也能移植到其他消费性、信息或通信的市 
场。目前的SoC朝向愈来愈复杂的系统在发展,让这个小小的单芯片不只是像一个具体而微的计算机,更像是一个采集运算的大型工作站。过去SoC中只要加入了一颗嵌入式微处理器核心,就显得威力无穷了,但现在没有两颗以上的核心,似乎就属于低层次的产品。根据半导体国际技术发展蓝图组织预估,到2010年时将是多处理器系统单芯片渊MPSoC冤的天下,它将整合多达数十亿晶体管,操作频率达数GHz、而工作电压则低于1V。因此,SoC的系统架构也走向计算机的运作模式,也就是采分布式、阶层式的运作架构。手机和其他的嵌入式产品一样,过去一向采用封闭性的专属架构,但此架构下的软、硬件得专门量身订作,开发时程较长,弹性也较低,而为了因应市场的快速变化,SoC也该走向开放性的架构。这包括内部各单元区块之间的总线接口沟通、对外的应用及内存读写的沟通,以及软件面的阶层式沟通。 
第二代SoC已然成型 
Gartner Dataquest副总裁兼首席分析师Bryan Lewis指出,在历经混沌不清的数年摸索后,SoC的架构已逐渐明朗,他称这类现在颇受市场肯定的SoC为第二代SoC。 
Bryan表示这一代的SoC已走向混合性的架构,也就是除了功能的多样性外,也尝试采用混合性的制程技术。 
第二代SoC的另一项特征在于次系统的独立性与平行工作,也就是一颗SoC中可以有多个次系统,每个次系统中犹如独立的微型计算机,不仅有个别的处理器核心,还可以有自己的OS、firmware和API,并采用平行运算的多任务、多阶层架构。 
这又是电子技术的一大成就,将原属于服务器工作站中的技术概念放入小如指尖的芯片当中,这些技术包括平行运算、不停顿(redundant)和负载平衡(load balance)等架构。今日的SoC中处理器核心,可以是RISC,也可以是DSP,而同样是RISC,可以一边是ARM核心,另一边则是MIPS核心。当采用SoC负载平衡管理软件时,就能为SoC上运行的软件切割成多项任务,并自动完成多核心之间的负载平衡及任务监视工作。 

SoC朝多处理器核心发展 

不过,概念归概念,要实现起来则是另一回事,这不是一家公司就能做到的,需要的是整个产业环节组成的生态体系(eco-system)。Bryan即表示,在SoC开发的生态体系中,有几类公司是举足轻重的。TI、Philips、IBM等SoC制造商自然是居于核心的位置,但他们仍需要和处理器核心、嵌入式软件、ESL/EDA工具等厂商密切合作,才能顺利发展其SoC产品,并提供完整的软、硬件解决方案。这些软、硬件及工具厂商中,除了一些老牌子的大公司,如ARM、Wind River外,还有更多是市场上的新面孔,不过在这个掘起中的市场,创新技术往往是比资本更重要的成功条件。Tensilica就是一个鲜明的例子,该公司以可配置处理器(configurable processor)核心在SoC市场中争得了一席之地。
Tensilica总裁Chris Rowen即信心满满地指出:“随着SoC成为市场上的主流,处理器核心将会随处可见。换个角度来看,处理器核心将像是新一代的一种晶体管。” 
在SoC的内部,处理器核心无疑是最重要的一个单元。目前各大厂采用的SoC核心中,无疑以ARM为最大宗,而ARM核心能广泛被市场接受,自然有其独到的技术优 
势,例如在低功耗的表现上极受肯定。不过,可配置处理器强调的是赋与设计者更大的弹性,例如Tensilica的Xtensa 处理器就是一个可以自由装组、可以弹性扩张,并可以自动合成的处理器核心。在设计自动化和弹性化的原则下,Xtensa可配置处理器允许SoC开发者依其需求定义处理器的处理效能,并具有对内存的增加、删除和修改,对外部的总线频宽与沟通协议,以及对常用的处理器外围的组态配置能力。此外,不论是依影像、视频、音频、DSP或安全等目的而量身定制的处理器,都能彼此密切地整合在一颗SoC当中,让各种任务都能得到更佳的处理效率。 
Chris 表示:“SoC 必然得走向新的架构,才能成为嵌入式应用设计中的普遍性选择。”他认为了除了要能降低设计投资、制程成本,以及设计上的风险外,整个设 
计流程要涵盖从架构规范到最后的软硬件整合,并以可程序化的弹性平台来因应市场上变易的功能需求;此外,在工具的支持上,更大的自动化能力是缩短设计时程与降低开发难度的重要关键,有了强大的自动化工具后,即使是一般的设 
计团队也能完成高复杂度的SoC开发工作。“朝向多核心SoC 设计发展是很明显的趋势,它能为设计团队提供了更大的弹性”,Chris指出,将不同的工作配置给不同的处理器,通常比只用一个高速CPU 来执行所有任务更有效率。 
因此,在消费性市场中,从低成本的喷墨打印机到行动电话,都已可看到多核心的产品;此外,大部分新上市的网络处理器也是基于多核心的设计,以Cisco的CSR-1路由器为例:这个产品在一个单芯片中就采用了188个处理器核心,并且有多个这样的芯片在整个系统当中。
普遍性且具有高度客制性的SoC是一个理想的境界,目前市场上离这个目标还有很大的距离。尤其是SoC的处理器架构,更是大家各有一套的作法。可配置核心看来 
虽然理想,但仍需累积更多的design-win实例来巩固市场的信心。 
而各大厂虽然普遍拥抱ARM核心,但其SoC产品的架构上仍有很大不同,有的采用单核心ARM来处理所有的工作曰也有采用ARM和DSP双核心架构的,以TI的OMAP 
最为出名,强调两个核心分别负责控制及信号处理的工作曰有的SoC则是以ARM为主处理器,针对特定功能加挂加速器,如ST 的Nomadik,即ARM9外还加入专属的音频及视频加速器。 
NoC网络通信管理架构 
以内部单元区块的沟通来说,SoC/MPSoC包括多个储存单元、通用CPU和专用核心(如DSP或VLIW核心)等处理器单元和嵌入式硬件(如FPGA或音视频编译码器等专用IP模块),这些组件得透过一个复杂的总线通信结构互相连结沟通。由于ARM为目前主流的嵌入式核心,因此其AMBAcrossbar互连技术是SoC中的重要规格。以ST的Nomadik应用处理器为例,它即采用了多层式AMBAcrossbar互连技术,能让CPU、多媒体加速器、系统内存和外围之间的数据频宽能达到最大:其外围支持Symbian、Linux和Windows CE.NET等高阶操作系统,也支持行动多媒体应用所需要的外部界面,如LCD、MMC和安全性数字适配卡和外部的音频编译码器(codecs)。 
AMBA采用通用总线,让微处理器、DMA控制器、内存控制器及其他高性能的模块通过AHB连接:性能较低的模块,比如UART、通用输入/输出(GPIO)及定时器等,则通过APB连接。此作法对于信息确定性及实时性较低的手机和PDA等设备说说,表现还算不错,但对于存取高频宽及高密度数据串流的应用来说,就显得力有未逮。 
为达到更佳的系统芯片内通信效能,目前业界仍致力推动新的芯片内互连技术,其中又以所谓的芯片上网络(Network on chip,NoC)技术架构最受重视。NoC技术以成本低廉的点对点封包架构取代了传统的总线架构,进而能降低SoC的价格和功耗,并能提高系统的性能和可伸缩性。 
NoC的封包架构整合了一个类似开放系统互连渊OSI冤的分层网络协议堆栈,协议层通过详细定义的接口相互作用,为编程人员提供了一个通信框架的抽象概念。协议堆栈隐藏了下层的实体层深次微米技术问题,使网络服务如服务质量机制得以高效实现。在这种设计流程中,经过验证的IP模块经过配置,可以通过自己的NoC接口与其他SoC组件通信。不过,NoC有很多细节问题还尚未解决,例如,如何选择适合的拓朴、路由选择和流量控制策略、队列管理策略、数据封包/消息格式和端到端的网络服务类型等。 
阶层式软件架构 
在封闭式的嵌入式环境中,多采用专属的RTOS、驱动及应用程序。SoC也能采此种作法,不过,以大众市场的手机来说,则倾向采用阶层式的架构。此架构基于硬件 
抽象(hardware abstraction)的接口作法,将系统分为三层,以手机多媒应用SoC为例,最上层为使用者应用层,中间层为通信、多媒体架构、安全性及操作系统,最低层则是与LCD控制器、影像传感器或照相机等一般性应用外围的沟通。 
 
这三层彼此间通过高阶客制API及低阶API来沟通。因此API的标准化是此架构普及的关键,当业界发展出标准化的API后,应用软件从底层的平台架构给分离出来,开发者只需从上层架构的观点对应用程序做抽象层级的开发,而不用对底层的实体平台做直接的呼叫,这让产品能更快速的开发,在平台更新时也不需牺牲效能或程序代码的可互操作性(interoperability),应用功能只需要写一次就够了。不仅 
如此,由于此一架构的平台具有通透性,制造商也很容易对硬件和软件做各种功能升级。在此架构下,SoC也能采用高阶操作系统(High level OS, HLOS)来满足复杂多任务的运算控制需求。以行动市场来说,目前主流的HLOS 包括Symbian、Windows Mobile和Linux等。不过,底层的规划仍有其重要性。毕竟,实际的运算作业还是发生在底层,只有针对特定需要对底层做最佳化的调校,才能充分发挥此一SoC的最佳效能。这时,系统设计者就得懂得通过基础的汇编语言编译程序(assembler)的编码,对程序代码进行最佳化设计,以提升SoC系统的效能等级。 
ESL工具 
在上谈的是SoC的系统架构规划,在完成此阶段的布局后,接着要面对的是如何实现硬件生产的问题。由于SoC的建置相当复杂,尤其是面对多核心处理器及庞大的电路闸发展趋势,因此SoC开发对于EDA工具的依赖极深,而在此类系统级设计渊System Level Design冤中,电子系统级(Electronic System Level, ESL)正是EDA和IP业者为简化SoC开发而致力推展的设计方法。ESL发展的主要目的就是要解决日益复杂的软硬件协同设计问题。相较于过去得等芯片硬件生产后才能执行软、 
硬件的同步验证工作,使得芯片的验证周期拉长。ESL设计方法能通过一个虚拟的软件平台环境,让设计师在IC设计早期阶段即开始进行整体系统架构分析、IP选择与软硬件整合等程序,如此一来,设计师能及早发现SoC软硬件整合上可能出现的问题,大幅提高开发成功的机率。 
目前的ESL工具主要都是由C或C++语言来完成,通过SystemC语言的使用,许多C++函式库得以被引用,也降低了IC设计者在RTL设计时,经常遇到转换不同设计工具时,程序语言间不易统合的困境。除了SystemC以外的高抽象层级、系统导向的硬件描述语言还包括SystemVerilog和Verilog 2005、VHDL 200x等。通过这种较高层级的语言,有助于节省系统的仿真图6 SoC阶层式软件沟通架构封面主题时间,亦会减轻设计师反复利用不同语言来撰写不同仿真任务的负担,让芯片设计师能把更多时间用在项目开始时的产品规划、定义和划分上。 

低耗电设计
 
SoC开发不容忽视另一个议题,就是芯片的耗电性,尤其是行动设备的组件。根据SIA在2002年发表的技术发展蓝图,最大电池供电力与容量平均每年成长10-15%, 
但行动系统的电力需求却是以每年35-40%的需求比例在成长中,造成芯片对电力的需求与电池技术能力之间的鸿沟愈来愈大。
以CMOS数字电路的能量消耗来说,有三个主要的原因:电流泄露、电压和频率频率的提升,其中电流泄露的问题随着制程缩小而更严重,而耗电性又和处理器的频率 
及电压的平方直接成正比。泄露、高电压和高速的频率速度都会快速消耗电力,但采用SoC的可携、行动设备又希望有长时间的电池寿命。为解决此一矛盾的议题,各SoC开发厂商无不使出混身解数,在耗电性上与竞争对手一较高低。 
在电池供电技术没有太大突破的现况下,SoC必须从整体的系统面来达成低耗电的最佳化规划,包括:尽可能避开高频率的运算,以节省能源;采用积极的电源管理策略,关掉芯片上非活动的区域,让CPU尽可能的保持在省电模式之下;使用更有效率的算法、指令集来达成更高的执行效率等等。 
从根本做起的方式则是降低每个电路闸的耗电(power-per-gate)。由于耗电量随 
电压的平方而增加,这让电压被视为是电路闸级层次的耗电元凶,因此采用低电压来驱动能有效降低耗电。此外,在芯片的布局中,采用高临限电压(high-Vt)晶体管能凭借降低off-state 的泄露来延长电池的寿命和预备(standby)的时间,而低临限电压(low-Vt)晶体管则能用在强调效能表现的时候。 
SoC的成本与复杂度高门坎除了处理器核心,SoC当中还会置入逻辑、记忆和接口等功能区块。ST亚太区家庭娱乐部门总经理YU Lee表示:“SoC的设计与生产已改变很多,今日,从高速CMOS开始,我们不断地加入RF、模拟、高压电源供应器,甚至是嵌入式DRAM。这很明显地让开发工作变得更为复杂,也需要投入更多的时间。” 
不过,更让业者淌血的或许还不是技术及时间,而是成本。YU Lee即指出,今日90纳米制程的一组光罩成本可达一百万美金,这样庞大的高阶制程光罩成本已非一般 
业者负担得起,而光罩成本在SOC的开发中却只是冰山的一角。他说:“到了65纳米的芯片设计时,成本将会更高,因此芯片厂商的SoC方案除了要能满在提供个别市场的特殊需求外,也要有能力降低生产的成本与开销。” 

的系统发展途径 

因此,采用SoC途径虽能开发出效能较高、尺寸更小的芯片组件,但同时也得面临开发难度高所带来的高成本、长研发时程等议题。这时,能满足成本效益和开发 
弹性的系统级(System-in-package, )就成了SoC以外倍受重视的一项发展途径。所谓的,是在基板上组装一块或多块裸片、再加上若干分离式和被动组件的设计。相较于SoC一般需花上18个月以上的时程,以巨大NRE费用,SiP的开发时程能大幅缩短,只需6到9个月的时间即能完工。此外,由于采用技术,因此SoC难以整合不同制程、技术的瓶颈,就很适合走SiP的途径,采用Si、GaAs、SiGe等不同制程的芯片可以通过堆栈而封装在一起,进而生产出混合内存、 
模拟及数字功能的多功能芯片。 以ST的行动市场方案来说,YU Lee表示,行动设备的空间配置可谓寸土寸金,而采用12*12 TFBGA封装的Nomadik本身的尺寸已经非常小了,但在它的三层堆栈版本中,还将高达512Mbit的SDRAM和NAND Flash与处理器整合在极省空间的封装当中。在这个封装当中,处理器和内存芯片堆栈在彼此的上方,达成更佳的系统运作效益。 
Bryan表示,这两种系统级芯片设计途径各有其优缺点:“SoC适合要求高效能、高整合度和生命周期长、产量高的产品,不过,它的技术难度较高,需要投入的研发 
时间也较长;SiP则适合重视开发弹性、上市时间压力大的设计案,一般来说,SiP的成本较SoC为低,对产量规模的要求不高,不过,在制造上仍有其技术门坎存在。以SiP的开发来说,只要其中一个裸晶故障,整个模块就宣告失败,只能失弃不用。也就是说,SiP最终产品的良率是所有堆栈芯片良率的乘积,因此良好裸晶(known 
good die,KGD)的筛选就成了SiP生产制造过程中最重要的一环,这有赖裸晶测试技术来达成。另一方式则是采用良率更高的更小型芯片来避免KGD问题。
 
SoC/SiP的制造议题 

可制造性设计(Design for Manufacturing, DFM)是半导体业极重视的另一议题。为了实现更高的良率,半导体业倾向从产品开发的源头即加入测试的设计性考虑, 
尤其是在纳米制程中,可制造性设计已是提升良率的关键性途径。这些年来,可制造性设计已有很大的进步,包括测试质量的改进,以及测试成本的降低等等。不过,YU Lee 表示DFM 只是一个起头。他是ST 从事SiP设计的先锋,曾率先进行MCU与电源组件整合的模块产品开发,并成功应用在汽车产业当中。他说,不论是SoC 与 
SiP,除了良好裸晶与可制造性设计外,其他要注意的还包括可测试设计(Design for test, DFT)、以及电子晶圆测试(Wafer sort)和最后测试等议题。YU Lee 以ST为例:“我们将一些新的技术,如PAT(Part Average Test)整合晶 
圆测试中,这是一种动态测试,有助于改善测试涵盖面。现在,针对新一代的SoC,我们也应用全扫描(full scan)测试方式,对芯片的每个节点(node)进行测试。
” 
在高电路闸数的微缩IC当中,还有很多的整合可能性会发生。例如不同的制程与功能,甚至是加入微机电渊MEMS冤的组件。微机电组件的机械或光电能力,可以将 
SoC带入另一个崭新的境界,例如整合入音频、光线、化学分析及压力、温度感测等MEMS 次系统,进而让SoC 具有如人体眼睛、鼻子、耳朵、皮肤等感官功能。不 
过,回顾电子业的发展史,整合与分离一向是轮替发生的。当产品的某些功能发展到成熟的地步,硬件的整合有助于降低成本、耗电与尺寸,这种整合是必然发生的;可是当市场出现新的应用或技术规格变化过快时,分离式的硬件架构往往才能符合弹性化的市场需求。当然,整合度总是随着时间而逐步提升的。目前市场总把SoC 与SiP视为竞争性的技术,或将SiP当做是走向SoC 的一条过渡途径。这样看有其道理,例如SiP正在提升其高速数据传输性能,让它与SoC的效能表现更为接近。不过,换个角度看,这两者的互补性或许更大于竞争性,谁说SoC 芯片不能再采SiP的堆栈,形成另一种高整合度的架构。 

c++相关文章:c++教程


路由器相关文章:路由器工作原理


路由器相关文章:路由器工作原理




关键词: SiP SoC 封装 封装

评论


相关推荐

技术专区

关闭