新闻中心

EEPW首页 > EDA/PCB > 业界动态 > 联电与新思科技拓展合作 加速14纳米制程定制化设计

联电与新思科技拓展合作 加速14纳米制程定制化设计

作者:时间:2017-03-16来源:TechNews收藏

  日前,才宣布14纳米制程进入客户芯片量产阶段的晶圆代工厂,14日再与(Synopsys)共同宣布,双方将拓展合作关系,将Synopsys的Custom Compiler和Laker定制化设计工具,应用于的14纳米FinFET制程上,用以缩短定制化的设计工作。

本文引用地址:http://www.eepw.com.cn/article/201703/345278.htm

  表示,双方的此项合作,是为了建立和验证,用于联电14纳米制程的业界标准iPDK,并全面支持Custom Compiler,以提供视觉辅助方案于布局流程。就由此突破性的功能,可缩短客户于布局和连接FinFET元件所需的时程。另外,Custom Compiler的解决方案整合了Synopsys的电路模拟、物理验证和数位实作工具,为联电14纳米制程的客户提供完整的定制化设计解决方案。

  联电硅智财研发暨设计支援处林子惠处长表示,联电与Synopsys的长期合作,已为客户打造许多iPDK。此次推出的14纳米制成的iPDK,是让客户的布局设计人员,以及联电的内部团队在使用Synopsys的定制化设计工具后,可提升电路布局于FinFET的生产力,帮助客户在14纳米技术量产上,简化设计过程。

  Synopsys产品销售副总Bijan Kiani则表示,目前FinFET制程技术在客户的受欢迎程度日益提高。而FinFET的电路布局则可能是一项挑战。此次,Synopsys与联电合作,为14纳米制程启用Custom Compiler,客户可使用Custom Compiler的视觉辅助方案来提升FinFET布局的生产力。

  据了解,针对Synopsys Laker和Custom Compiler定制化设计工具于14纳米及其他制程的iPDK,可依联电的要求提供。另外,Custom Compiler与Synopsys的电路模拟、实体验证、以及数位实作等工具整合,可提供全面性的定制化设计解决方案。其中,Custom Compiler让FinFET设计的完成时间从数天缩短至数小时。其自动化视觉辅助设计流程,利用布局设计人员所熟悉的图像使用模型,以减少编写复杂程式码及限制条件。至于,借由Custom Compiler,则可无需进行额外设定,便能自动执行例行性及重复性的任务。



关键词: 联电 新思科技

评论


相关推荐

技术专区

关闭