新闻中心

EEPW首页 > EDA/PCB > 新品快递 > Mentor Graphics 推出Olympus-SoC平台

Mentor Graphics 推出Olympus-SoC平台

作者:时间:2009-04-23来源:电子产品世界收藏

  公司近日宣布,即将推出针对低功耗集成电路而新增特性的™ 平台。低功耗功能是高级技术工艺的追求目标,它充分利用了经生产实践验证的可变异性设计[M1] (DFV)架构,而该架构本身就可以优化设计模式、制程边角和工艺中存在的多样性。结果是,与传统的解决方案相比,的客户在设计收敛时间上比以前快了1到2倍,同时功耗也降低了30%。

本文引用地址:http://www.eepw.com.cn/article/93754.htm

  Olympus-SoC低功耗解决方案包括针对自动化多电压设计流程的灵活架构,以及针对复杂时钟树的先进技术。它同时还包括对多边角多模式(MCMM)情景下的漏和动态功耗、时序和信号完整性的并行优化。

  “低功耗已经成为我们高级移动计算要求的强制性设计指标,”富士通微电子有限公司技术开发部的总经理Noboru Yokota表示。“因为Olympus-SoC平台是我们设计收敛系统的主要部分,我们通过与的密切合作,在我们的方法学中加入了先进的低功耗功能。该先进的低功耗技术,包括多电压、MCMM-CTS、时钟树重构、斜率微调[M2] 和转换成形[M3] ,经评估在多模式和多边角下总功耗降低和设计收敛时间缩短两方面上,其结果令人相当振奋。”

  低功耗设计挑战

  作为降低总体功耗的主流技术即多电压设计是一项复杂且耗时的任务。因为许多模块工作在不同的电压下,有的或间歇性去电,增加了功耗状态数,这样以来就更加重了原本就已复杂的MCMM问题。现有原本不具有MCMM功能的布局布线系统,并不能有效地解决功耗和时序并行处理所存在的复杂问题。此外,时钟树网络中的功耗占了芯片总功耗的一大部分,设计师需要一套功耗感知的时钟树综合(CTS)解决方案,它能在更小的几何轮廓内处理不断增加的导线电阻和电阻变异性问题。最后,随着在单一裸片上集成功能的增加,特别是在移动应用中,设计尺寸也在以指数级增加。现有工具无法处理足够大设计的限制势必要求设计师将整个设计分割成多个可控的部分,使得顶层芯片集成收敛性更加复杂化。

  Olympus-SoC低功耗平台提供全面的功耗管理功能

  Olympus-SoC布局布线平台可全面满足低功耗设计的要求,并在没有大量增加设计迭代的前提下,确保了整个设计的优化,使得工程师可以快速提供全面优化的低功耗设计。Olympus-SoC系统包括下列一些关键技术,用于解决低功耗问题和提供最佳的设计质量:

  · 全面自动化的多电压流程,支持动态电压和频率调节(Dynamic Voltage and Frequency Scaling,DVFS),用于处理可变电源电压和时钟频率,并能处理诸如电平转换器和隔离单元的特殊元件。

  · 具有时钟门控布局、转换成形、寄存器集聚[M4] 和并行MCMM优化的功耗感知CTS,可以保证时钟树达到平衡,而时钟缓存数降到最低。

  · 针对功耗状态和时序提供无缝并行优化的独特架构,覆盖流程各个阶段内所有的工作模式和边角。

  · 基于统一功耗格式[M5] (UPF)的Netlist-to-GDSII设计流程,包括支持功耗状态定义表。

  不仅如此,Olympus-SoC产品还提供如并行多电压优化、利用MTCMOS开关单元的功耗门控、保存触发器综合、加油站方法学和功耗感知缓存和尺寸调整等一系列技术。Olympus-SoC系统是应目前的大型、复杂的低功耗片上系统(SoC)而构建,能以平坦化模式直接处理上亿个门的设计规模。全面多线程分析引擎和业界唯一的全面并行时序和优化引擎,在多核和多CPU计算平台上速度可达原来的7倍。

  “这些核心创新,使得Olympus-SoC系统在设计收敛上比其他工具提前跨入到了下一代产品,而且还有助于提供最佳的低功耗设计,”的布局布线部的总经理Pravin Madhani表示,“尽管所有的布局布线工具都提供实现低功耗设计策略所需的机制,如多时钟和电压域,保存寄存器,但只有具备并行MCMM优化的Olympus-SoC系统能保证在所有工作和电压模式、所有制程和工艺多样性边角下的最佳设计结果。”

  供货信息

  针对低功耗设计的Olympus-SoC现已上市。



评论


相关推荐

技术专区

关闭