新闻中心

EEPW首页 > EDA/PCB > 设计应用 > FPGA设计开发软件ISE使用技巧之:ISE软件简介

FPGA设计开发软件ISE使用技巧之:ISE软件简介

作者:时间:2015-02-02来源:网络收藏

  软件简介

本文引用地址:http://www.eepw.com.cn/article/269332.htm

  Xilinx作为当界上最大的/CPLD生产商之一,长期以来一直推动着/CPLD技术的发展。其开发的软件也不断升级换代,由早期的Foundation系列逐步发展到目前的 9.x系列。

  是集成综合环境的缩写,它是Xillinx /CPLD的综合性集成设计平台,该平台集成了设计、输入、仿真、逻辑综合、布局布线与实现、时序分板、芯片下载与配置、功率分析等几乎所有设计流程所需工具。

  ISE系列软件分为4个系列:WebPACK、BaseX、Foundation和Aliance。ISE WebPACK系列可以在www.xilinx.com网站上直接下载,是一个免费软件,支持一些常用的器件族;ISE BaseX系列的器件最大规模不超过700k系统门;ISE Foundation系列是最早期Foundation系列的延伸;ISE Alliance系列支持的器件族最全,功能强大,是Xilinx的主推设计平台,所以推荐安装ISE Alliance系列。

  ISE的主要特点如下。

  1.优良的集成环境

  ISE是一个集成环境,可以完成整个FPGA/CPLD开发过程。ISE集成了很多著名FPGA/CPLD设计工具,根据设计流程合理应用这些工具,可以大大提高产品设计效率。

  2.简洁流畅的界面风格

  ISE界面风格简洁流畅,易学易用。ISE的界面秉承了可视化编程技术,界面根据设计流程而组织,整个设计过程只需按照界面组织结构依次单击相应的按钮或选择相应的选项即可。

  3.丰富的在线帮助信息

  ISE有丰富的在线帮助信息,结合Xilinx的技术支持网站,一般设计过程中可能遇到的问题都能得到很好的解决。Xilinx的官方网站上提供了相关软件(可供下载)、软件使用说明、软件更新、硬件资料、参考设计以及使用过程中常遇到的问题的解决等,此外还提供了大量的视频教程,便于用户学习。

  4.强大的设计辅助功能

  ISE秉承了Xilinx设计软件的强大辅助功能。在编写代码时可以使用编写向导生成文件头和模块框架,也可使用语言模板(Language Templates)帮助编写代码,在图形输入时可以使用ECS的辅助项帮助设计原理图。

  另外,ISE的Core Generator和LogiBLOX工具可以方便地生成IP Core(IP核)与高效模块为用户所用,大大减少了设计者的工作量,提高了设计效率与质量。

  目前ISE的最新版本为ISE 9.1i。Xilinx ISE 9.1于2007年3月发布,是业界最完整的可编程逻辑设计解决方案,用于实现最优性能、功率管理、降低成本和提高生产率。ISE 9.1i 利用新SmartCompile 技术,来帮助用户在更少的时间内实现业内最快速的 FPGA 性能。

  6.1.2 ISE 7.1i特点

  由于本书中所涉及的例程都是在ISE 7.1i下完成的,这里对ISE 7.1i的特点做重点介绍。Xilinx于2005年3月推出针对Xilinx Virtex-4 和新推出的Spartan-3E系列FPGA产品而优化的集成软件环境(ISE)7.1i版。其相比与从前的版本有以下新特性。

  1.易用性有所提高

  ISE 7.1i中新的易用性特色可以加快工程师的设计过程。在设计流程中的每一步,ISE 7.1i都提供了显而易见的实施结果。新的设计摘要视图(Design Summary View)和消息过滤(Message Filtering)功能突出了重要的设计信息,从而减少了在详细的报告文件中搜索的需求。新的技术指示器(Technology Viewer)通过易于浏览的示意图表来显示合成后的实施结果。

  2.集成了两款新的仿真器

  ISE 7.1i中还集成了两款新的仿真器,ISE Simulator 和 ModelSim Xilinx Edition-III,从而可实现更快的仿真和更大的设计容量。通过利用实时芯片上(in-silicon)调试功能来加强仿真能力,ChipScope Pro 和 ISE 7.1i可使实时验证所需要的时间仅为ASIC或竞争FPGA验证流程的一半。ChipScope Pro现在还允许设计人员从全球任何地方通过网络连接对系统进行验证和调试。

  3.通用性能提高

  通过支持64位Linux,ISE 7.1i为更高密度的设计和开发创造了优越条件。重要的是,ISE 7.1i 可直接插入到现有EDA设计流程中,与第三方EDA合作伙伴的合成、仿真、HDL分析和验证等设计工具紧密集成。

  4.支持Spartan-3E FPGA 系列和超低功耗Spartan-3L FPGA

  对于设计人员来说,成本也是一项重大的挑战。ISE 7.1i支持Spartan-3E FPGA 系列和超低功耗Spartan-3L FPGA,因而可支持额外的大批量设计,可大大节约设计者的成本。

  总得来说,ISE 7.1i独特的集成度、高速度以及易用性可以帮助设计人员解决所面临的最紧迫的一些挑战。

  新版工具集成了主要功耗分析、分层设计、仿真和调试等功能,还支持目前应用越来越多的基于Linux的设计环境。工具中还包括了针对在所有性能领域全球都最快的FPGA Virtex-4系列的新速度文件。

  与竞争解决方案相比,ISE 7.1i的逻辑构造性能优势高达70%,同时在DSP、嵌入式处理和连接功能方面也遥遥领先。设计人员可在设计中充分享受这些优势。ISE 7.1i中还包括了对新推出的全球成本最低的FPGA产品Spartan-3E系列完全支持的功能。

红外遥控器相关文章:红外遥控器原理

万能遥控器相关文章:万能遥控器代码


fpga相关文章:fpga是什么




关键词: FPGA ISE

评论


相关推荐

技术专区

关闭