新闻中心

EEPW首页 > EDA/PCB > 业界动态 > 美国实施EDA软件禁令,中国或将错过下一代芯片技术

美国实施EDA软件禁令,中国或将错过下一代芯片技术

作者:时间:2022-08-19来源:知乎收藏

美国试图锁死中国下一代芯片技术

注意,这里说的是下一代,是下一代!与现在的软件无关,这项软件的禁令只是针对GAA架构制程,这项工艺目前只有三星利用在了3nm的芯片生产上,而不出意外的话,台积电也会在今年量产同代的芯。

本文引用地址:http://www.eepw.com.cn/article/202208/437507.htm

中国目前的最先进的工艺来自中芯国际,中芯在前不久被发现“偷偷”用DUV光刻机实现了7nm工艺的量产。但即使进步如此神速,中芯国际和三星、台积电之间,至少还隔着一个7nm+(EUV版的7nm工艺)、5nm两代,对中芯来说,GAA至少是下下代才用到的东西,而目前中芯连7nm+的可能性都看不到,因为目前实现的7nm已经是DUV光刻机的极限,想要再进一步就需要EUV光刻机,现时根本买不到。

所以现下最头疼的是:第一,从哪搞来EUV光刻机!第二,有了EUV光刻机后,怎么才能多快好省的研发并量产7nm+和后续的5nm工艺。至于用来设计3nm的GAA架构芯片的软件——虱子多了不痒,债多了不愁,至少就最近这几年,似乎不是最紧要的事。

什么是GAA?

是替代目前FinFET的下一代技术路线

GGA的全称是Gate all around Field Effect Transistors(简称),中文全称全环栅晶体管,该技术能够延续半导体技术经典“摩尔定律”的新兴技术路线,可进一步增强栅极控制能力,克服当前技术的物理缩放比例和性能限制

各种晶体管结构示意图,可见整个外轮廓都被栅极完全包裹

GAA制程能够提供比FinFET更好的静电特性,满足某些栅极宽度的需求。在同等尺寸结构下,GAA沟道控制能力增强,给尺寸进一步微缩提供可能;传统FinFET的沟道仅三面被栅极包围,GAA以纳米线沟道设计的整个外轮廓都被栅极完全包裹,意味着栅极对沟道的控制性能就更好。专家表示:“与FinFET相比,除了具有更好的栅极控制能力以外,GAA堆叠的纳米线还具有更高的有效沟道宽度,能够提供更高的性能。

6月30日,三星已经率先宣布基于3nm的芯片已经开始初步生产,相较三星5nm工艺,3nm的芯片性能提高23%,功耗降低45%,芯片面积减少16%。

研发3nm工艺过程中,需要EDA供应商深度合作

而在流片、试产过程中,三星和全球三大EDA软件供应商新思科技(Synopsys)深度合作,加速为GAA 架构的生产流程提供高度优化参考方法。因3nm制程不同于台积电或英特尔FinFET 架构的GAA 架构,需要新设计和认证工具,因此采用了新思科技的Fusion Design Platform。三星代工设计技术团队副总裁表示,新思科技的支持非常关键。

用来实现GAA架构的新思科技EDA软件中的物理设计套件(PDK)已在2019年5月发布,2020 年通过制程技术认证。

“ANSYS和三星携手合作,使用3nm GAA技术继续为最先进的设计提供支持技术。目前,Ansys多物理场仿真平台的签核精度,保证了我们与行业前沿地位的三星晶圆代工持续合作伙伴关系”——EDA服务商ANSYS
“楷登电子与三星晶圆代工密切合作,让客户能够通过使用我们的数字解决方案实现3纳米(nm)GAA技术工艺节点的最佳功率、性能和尺寸。从数据描述到全数字流程实施和签名,所有这些都基于Cadence Cerebrus AI的技术驱动,以最大限度地提高生产率。通过定制解决方案,我们与三星共同启用并验证了完整的AMS流程,通过自动化布局提高了电路设计和模拟的生产效率。我们期待着继续以这样的合作,取得更大的成功。”——EDA服务商楷登电子
“西门子EDA很高兴通过与三星的合作,从最初开发阶段确保我们现有的软件平台也能够在三星新的3纳米(nm)工艺节点上运行。通过SAFE™计划,西门子行业领先的3纳米EDA工具得已认证”——EDA服务商西门子EDA
“通过我们与三星代工事业部的长期战略合作,使得我们的解决方案能够支持三星的先进工艺,帮助我们共同的客户加快他们的设计周期。现在通过新思科技数字设计、模拟设计和IP产品,继续扩大对三星采用GAA架构的3 nm工艺的支持,使客户能够为关键的高性能计算应用提供差异化的SoC。”——EDA服务商新思科技

现在,上面四家有能力提供GAA技术EDA设计软件的,都无法向中国提供相关产品。

台积电的态度:3nm仍然坚守FinFET

对台积电而言,GAAFET仍然只是未来的发展路线。FinFET的技术潜力尚未完全发挥,因此台积电目前研发顺利的3nm工艺仍将采用成熟的FinFET技术,预计在2nm工艺制程上,台积电才会引入GAAFET。

台积电业务开发副总张晓强:台积电认为继续采用FinFET架构开发3纳米制程,能帮助客户取得成功的最佳方案。预期3纳米效能可较5纳米提升10%至15%,功耗减少25%至30%,逻辑密度增加1.7倍,SRAM密度提升1.2倍,类比密度则提升1.1倍。

台积电预计将在2nm工艺节点将转向GAA架构,全新的MBCFET(多桥-通道场效应晶体管)架构以GAA制程为基础,可以解决FinFET因为制程微缩,产生的电流控制漏电等物理极限问题。因此2nm或将是FinFET结构全面过渡到GAA结构的技术节点。在经历了Planar FET,FinFET后,晶体管结构将整体过渡到GAAFET结构上。

如果美国扩大出口管制,被视为下一代,或对中国来说至少是下下一带的芯片开发设计软件,中国将遭遇灾难性的挫折。

而这项技术需要专业EDA软件,中国在这一领域落后于全球同行。工程师们需要这样的软件来设计集成电路(IC) ,而市场由楷登电子Cadence、新思科技(Synopsys)和西门子 EDA主导,这些公司都位于西方。

英伟达(Nvidia)一位芯片设计专业人士表示:“与全球同行相比,中国在 EDA 软件方面存在巨大差距。Synopsys 和 Cadence 至少花了30年时间来建立他们在这一领域的专业知识。(中国)在短期内赶上的可能性很小。”

由于美国对尖端设备实施出口管制,我国目前没有一家代工厂能够开发出5纳米以下的制造技术,并且约3000家集成电路设计公司仍严重依赖进口的EDA软件。而用于设计非GAA芯片的EDA软件未来是否也会受到禁令影响?没人能回答这个问题。

国内的EDA软件厂商行不行?

国家目前在努力减少对海外采购的半导体设计软件和设备的依赖,国内的EDA行业一直在蓬勃发展。数十家公司一直在寻求替代进口系统,投资者也投入大量资金,希望政府的支持将有助于该行业的蓬勃发展。中国EDA供应商帝天科技自上周在深圳IPO以来,其股价已上涨两倍。在2020年,帝天科技在国内EDA市场占有约6%的份额。

在非上市的EDA公司中,X-Epic是中国EDA行业一颗冉冉升起的新星。最近,该公司被南京评为17家有前途的初创企业之一。该公司已成立了一个研究机构,以加快EDA 2.0技术突破,并开发国产EDA生态系统。根据 PitchBook的数据,截至今年1月,该公司已经筹集了1.217亿美元,其投资者包括中国国家开发银行和红杉资本中国。

一份报告显示,2020年EDA工具的全球市场规模估计为91亿美元。预计到2026年将增长64%,达到149亿美元。




关键词: EDA GAAFET

评论


相关推荐

技术专区

关闭