新闻中心

EEPW首页 > EDA/PCB > 设计应用 > 数字控制振荡器(NCO)的FPGA实现

数字控制振荡器(NCO)的FPGA实现

作者:时间:2011-03-28来源:网络收藏

摘要:介绍了的工作原理,详细分析了数控的性能指标和其在中的实现方法,最后给出了新设计的数控在QUARTUSII中的仿真结果。
关键词:数控振荡器();无杂散动态范围(SFDR);;频率控制字

0 引言
(N umerically Controlled Oscillator)是用于产生一个理想的、数字可控的正弦或余弦波的式振荡器,其实现方法有实时计算法和查表法等多种。实时计算法的正弦波样本以实时计算产生,该方法因其计算需要耗费很多时间,因而只能产生较低频率的正弦波,而且存在计算精度与计算时间的矛盾。由于在需要产生高速的正交信号时,实时计算法将无法实现。因此,在实际应用中一般采用最有效、最简单的查表法,即事先根据各个NCO正弦波的相位计算好相位的正弦值,并按相位作为地址信息存储该相位的正弦值数据。

1 NCO的基本原理
在通过相位累加产生地址信息时,通常需要输出当前时刻的相位值所对应的正弦值,即以参考频率源对相位进行等可控间隔采样。众所周知,理想的正弦波信号S(t)可以表示成:
a.JPG
式(1)说明,信号s(t)在振幅A和初相φ确定之后,其频率可以由相位来唯一确定。即:
b.JPG
NCO就是利用式(2)中φ(t)与时间t成线性关系的原理来进行频率合成的,也就是说,在时间t=△t间隔内,正弦信号的相位增量△φ与正弦信号的频率f可构成一一对应关系,也就是说,对式(2)两端进行微分后有:c.JPG
由上面的讨论可知:
i.jpg
其中,△θ为一个采样间隔△t之间的相位增量,采样周期d1.jpg。故式(3)可改写为:
d2.jpg
由式(4)可知,如果可以控制△θ,就可以控制不同的频率输出。由于△θ受频率控制字FCW的控制,即:d4.jpg,所以,改变FCW就可以得到不同的输出频率f0,然后经代换处理可得如下方程:
d3.jpg
式(5)和式(6)中的L为相位累加器的位数。根据Nyquist准则,允许输出的频率最高为FCLK/2,即d5.jpg。但在实际工程中,由于受到低通滤波器的限制,一般输出的频率d.JPG

本文引用地址:http://www.eepw.com.cn/article/191276.htm

fpga相关文章:fpga是什么


低通滤波器相关文章:低通滤波器原理



上一页 1 2 3 下一页

评论


相关推荐

技术专区

关闭