新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 如何在FPGA中实现状态机

如何在FPGA中实现状态机

作者:时间:2014-12-13来源:网络收藏

  常常用于执行基于序列和控制的行动,比如实现一个简单的通信协议。对于设计人员来说,满足这些行动和序列要求的最佳方法则是使用。状 态机是在数量有限的状态之间进行转换的逻辑结构。一个在某个特定的时间点只处于一种状态。但在一系列触发器的触发下,将在不同状态间进行转换。

本文引用地址:http://www.eepw.com.cn/article/266770.htm

  理论上讲,可以分为Moore状态机和Mealy状态机两大类。它们之间的差异仅在于如何生成状态机的输出。Moore状态机的输出仅为当前 状态的函数。典型的例子就是计数器。而Mealy状态机的输出是当前状态和输入的函数。典型的例子就是Richards控制器。

  定义状态机

  当需要定义一个状态机时,首先要绘制一张状态图。状态图可用来显示状态、状态间的转换和状态机的输出。图1显示了Moore状态机的状态图(左)和Mealy状态机的状态图(右)。

  

用于开/关LED的Moore状态机(左)和Mealy状态机(右)的状态图

 

  图1,用于开/关LED的Moore状态机(左)和Mealy状态机(右)的状态图。

  如果您要在物理组件中实现这些状态图(工程师在问世之前就是这么做的),首先就得生成当前状态和后续状态表,然后生成实现状态机所需的逻辑。不过由于我们将使用来实现设计,因此我们可以直接从状态转换图开始工作。

  算法状态图

  虽然有许多状态机是使用图1所示的状态图方法进行设计的,但另外还有一种描述状态机行为的方法,这就是算法状态图法。ASM图(图2)在外观上更加接近软件工程流程图。它由三个基本部分构成:

  状态框。它与状态名称有关,并包含Moore状态输出列表。

  决策框。如果检验某条件为真,则进行下一状态的判断。

  条件输出框。让状态机根据当前状态和输入描述Mealy输出。

  一些工程师认为,如果使用VHDL等硬件描述语言,则采用ASM格式进行描述的状态机更易于映射到实现方案中。

  

用于图1所示的状态机(Moore状态机(左),Mealy状态机(右))的算法状态图

 

  图2,用于图1所示的状态机(Moore状态机(左),Mealy状态机(右))的算法状态图。

  Moore和Mealy:应该选择哪个?

  实现Moore状态机还是Mealy状态机,取决于状态机需要实现的功能,以及特定的反应次数要求。两种状态机之间的最大差别在于状态机如何对输入 做出反应。在输入和设置的适当输出之间,Moore状态机一般有一个时钟周期的延迟。这就意味着Moore状态机无法对输入变化立即做出反应,这点在图3 中可以清楚地看到。而Mealy状态机则能够立即对输入做出反应,这通常意味着:实现相同的函数,Mealy状态机比Moore状态机需要更少的状态。 Mealy状态机的不足之处就是在与另一个状态机进行通信时,如果输出出乎意料地严重依赖于其它事件的序列或时序,就可能会发生紊乱情况。

  

截屏显示了Moore状态机(上)和Mealy状态机(下)输出的仿真结果

 

  图3,截屏显示了Moore状态机(上)和Mealy状态机(下)输出的仿真结果。

  当然,并非只能使用单纯的Moore状态机或Mealy状态机,也可以将这两种状态机混合使用,从而更有效地实现所需的函数。比如说,用于接收RS232串行数据的状态机就可以是混合机。

fpga相关文章:fpga是什么


尘埃粒子计数器相关文章:尘埃粒子计数器原理

上一页 1 2 下一页

关键词: FPGA 状态机

评论


相关推荐

技术专区

关闭