博客专栏

EEPW首页 > 博客 > 半导体材料与工艺:先进节点之争,哪家晶圆厂处于领先地位?

半导体材料与工艺:先进节点之争,哪家晶圆厂处于领先地位?

发布人:13616275630 时间:2022-11-12 来源:工程师 发布文章

需要权衡的因素不仅仅是工艺扩展;领导力可能因时而异,也可能因应用而异。

晶圆制造领导力争夺战变得越来越复杂复杂,很难确定哪家公司在任何时候处于领先地位,因为需要权衡的因素太多了。这在很大程度上反映了处于领先地位的客户群的变化,以及对特定领域设计的推动。过去,苹果、谷歌、亚马逊和Meta等公司购买了最快的商用处理器。但在过去的五年里,这些系统公司一直在雇佣半导体硬件和软件工程师团队,为特定数据类型定制架构,以便通过扩展大大超过可用的性能和功率。

图片


这并没有阻止台积电、三星和英特尔继续缩减功能,他们的路线图也延伸到了1.x纳米范围。但这改变了他们竞争的方式。领导力不再仅仅是流程几何结构。下一代技术现在包括从新型晶体管、互连材料和结构到功率传输方案的所有方面。在某些情况下,可能需要灵活性,无论是硬件或软件的可编程性,还是在大容量应用中创建衍生设计的更简单方法。在其他情况下,这可能是一个掩模版大小的芯片上可以容纳多少晶体管的问题。尽管成本上升、功率和性能优势降低,但流程扩展仍然很重要。然而,并不是每个应用程序都需要它,它只是决定市场领先地位的越来越多的因素之一。事实上,选择哪家公司在任何时候都处于领先地位可能需要一个产品的电子表格,而不仅仅是一个制造过程。对于一个客户来说重要的是什么,或者对于该客户的特定设计,可能与对于另一个客户而言重要的是不同的。台积电业务发展高级副总裁张凯文表示:“有很多问题需要解决,比如如何在系统层面进行设计,如何将所有东西进行分区,并将它们整合在一起,但这些也代表着一个机会。整个行业需要找到一种方法来做得更好。我们必须在未来重新思考系统设计,以及如何最好地划分这些东西。在未来,你会看到系统级的方法变得越来越重要,而不是单个芯片级的方法。这从软件和软件架构一直到现在我可能会看到越来越多的重要参与者成为半导体客户。

图片


例如,在人工智能训练应用中,目标是将尽可能多的计算元素(通常是同质的)塞进一块硅上。相比之下,在智能手机中,图像处理等功能需要更多的逻辑,但并非所有功能都需要封装在同一芯片上。在AR/VR眼镜等应用中,热限制和性能要求非常苛刻,且因使用情况而异,以至于公司正在试验各种不同的架构,从平面芯片到具有复杂热管理的3D-IC架构。简单地说,一刀切不再适合所有人,这正在从根本上改变晶圆制造行业的动态。UMC和格芯退出了14nm的规模竞争(尽管GF后来已转向12nm),转而专注于汽车和5G等多种专业市场。从那时起,两家公司都在满负荷运行,并计划增加更多,他们正在EDA和制造设备公司的帮助下扩展在成熟节点上可以完成的工作。格芯技术和研究高级副总裁Gregg Bartlett表示:“设备供应商非常投入,应用材料创建了ICAPS(物联网、通信、汽车、电力和传感器)该业务部门致力于非个位数纳米相关技术,无论是宽带隙材料还是与CMOS图像传感器相关的复合半成品或加工能力。他们在离子注入机中需要极低的金属含量。高级逻辑不在乎这一点。因此,摩尔定律缩放所需的工具功能没有下降,它们已经成为新的需求。对于其他四分之三的市场需求,有一个完整的路线图。”即使在最前沿的节点,流程也变得非常不同,很难进行比较。其中一些取决于终端市场。三星和台积电(TSMC)继续在消费电子产品和个人电脑领域展开角逐。与此同时,英特尔(Intel)继续将重点放在服务器芯片上,经常与台积电竞争,但它也越来越多地开发用于军用/航空应用的先进节点芯片。所有这些产品都进入了其他市场,随着客户要求更多定制解决方案,这些市场继续分裂。因此,每个晶圆厂都在向其核心市场进军,同时在预算和机会允许的情况下向其他市场扩张。三星正在将所有FET的栅极设置为3nm,而台积电和英特尔则计划坚持使用3nmfinFET,转而使用2nmGAA FET。所有这些公司都在这些节点上开发专门的流程,以及大量的半节点。图片这在很大程度上反映了无晶圆厂公司的巨大需求,这些公司希望用最小的效率实现性能最大化。在这个世界上,需要在一个系统或多个系统的背景下考虑成本。冷却更少的服务器机架可以在更少的空间、更快的速度下完成更多的处理,这使得在最先进的节点上从头开始设计芯片更容易接受。对于那些为预定义架构购买芯片的公司来说,情况完全不同。因此,谷歌的服务器处理架构看起来与特斯拉截然不同。虽然每一个都可能包含5nm3nm逻辑,但它们是针对不同的数据类型、不同的内存和I/O配置以及数据处理方式和位置、需要保留多少以及存储位置的不同优先级而定制的。

在这种情况下,工艺技术和晶体管类型仍然很重要,但它们不一定是决定芯片运行速度更快或功耗更低的因素。事实上,先用下一代晶体管技术到达最先进的节点不再是一个必胜的公式。因此,尽管历史上人们一直从密度的角度来看待工艺领先地位,但越来越多的是,这只是先进封装中越来越异构的芯片或小芯片集合中的一个组件。仅仅因为芯片使用3nm工艺,并不能确保其在特定应用中以更低的功率运行得比5nm逻辑芯片更快,5nm逻辑电路芯片可能与神经处理单元、CPUGPU封装在一起。此外,如果需要更新或算法发生变化,并且没有内置的可编程性,那么随着时间的推移,它可能不会表现得很好。

这在不同晶圆厂的路线图中很明显。虽然有一些相似之处,但也有明显的差异,这些差异可能会随着时间的推移而扩大。三星三星晶圆厂预计将在今年晚些时候或明年推出SF3E3nm)工艺技术,该技术基于一种称为MBCFET的栅极全能晶体管,其速度将提高23%,功耗将降低45%。三星将率先推出GAA FET,它在最先进的节点上比finFET更好地控制电流泄漏-基本上能够完全关闭晶体管,而不是看着电池在关闭时慢慢耗尽。该公司还将为移动市场增加SF4E44P。预计将于明年某个时候推出的4P,采用4nm工艺和新的中间线技术,性能将提高1.19倍。SF3SF3P将于明年推出,SF2预计于2024年推出,SF1.4将于2026-2027年推出。此外,三星还将推出Cube S,一款基于混合硅中介层的2.5D版本,以及混合BGATCP BGA版本,以增强其封装选择。它的3D-IC X-Cube将于2024年推出,使用微型凸块,两年后将推出无凸块版本,大概是使用混合键合或其他用于连接它们的高速低电阻材料。图片:高速、低电阻率互连和更短的距离可以显著提高性能并降低功耗和热量。资料来源:三星最先进的节点最大的问题之一是散热。GAA FET将在一定程度上有所帮助,但芯片利用率的提高和更高的动态功率密度可以在垂直结构之间捕获热量。一般来说,有两种方法可以解决这个问题。其中一个涉及物理冷却,使用散热器或某种形式的热转移到液体中,或在内部使用微流体。第二是降低各个部件的阈值电压。三星电子负责产品规划的副总裁金英东表示:“如果你能用较低的阈值电压来降低功耗,你就能降低限制性能的功耗。”一个相关的挑战是,存储器需要最小的电压才能正常工作,因此需要提高和降低电压才能使其正常工作。三星拥有自己的内存——DRAM(包括HBM)、NANDSRAMSTT-MRAM——所以它有能力在内部进行实验。它甚至开发了内存计算能力。这一点很重要,因为降低电压会增加对各种类型噪声的敏感性,在构建高级芯片时需要考虑所有这些因素。三星负责存储器销售的执行副总裁吉姆·埃利奥特表示,通过在DRAM中使用finFET,功率可以缩小到0.9伏以下。三星还正在开发各种桥接技术,包括嵌入式桥接和它所称的“RDL插入器”。2025年,该公司还希望增加背面供电,这将有助于缓解芯片内部的拥塞。这种方法之所以吸引人,是因为在3D晶体管结构极其密集的海洋中减少了拥塞,以及在重新分配层上做得更多,这在过去很大程度上被视为机械基础。三星可能会在其各种产品线中使用其内部开发的许多芯片,这些产品线现在包括汽车、移动/消费者、物联网和HPC/AI。三星执行副总表示:“这是一个晶圆制造整体设计平台。”图片三星工艺路线图台积电尽管GAA FET减少了泄漏,但台积电认为N3有足够的好处,可以将该技术的引入推迟到N2N3将在与N5相同的功率下提供18%的性能提升,或在相同的性能下降低34%的功率。在N2下,当引入纳米片时,性能将提高约10%15%,或功率降低25%30%纳米片的后续技术,一种互补的FET,这是所有三家主要晶圆厂都可能采取的路径。目前尚不清楚的是,这将在何时发生,或者是否会有imec开发的一种临时技术,称为叉片FET台积电一直在试验新型材料和晶体管,包括碳纳米管FET,其缩放密度将比其他晶体管类型高1.5倍至2倍。新型低电阻材料可以将电阻降低40%,这将显著提高性能,减少驱动信号所需的功率,并显著降低热量。随着互连性能的提高,电阻率降低有可能进一步扩展。在封装方面,台积电已经通过其集成扇出(InFO)技术进行了大批量生产,并且正在与客户合作开发基于其晶片上衬底(CoWoS)技术的3D IC,该技术使用微凸块以及有机和硅中介层。该公司还开发了一种高密度硅桥,并正在开发一种称为集成芯片系统(SoIC)的前端线封装技术,该技术利用水平和垂直空间将小芯片嵌入芯片中。图片台积电工艺路线图英特尔和台积电一样,英特尔将把finFET再推一个节点,计划在2024年换成2nm的纳米片,也就是它所说的20A20埃等于2nm)。英特尔的GAA FET被称为RibbonFET。该公司还计划在2025年将其称为PowerVia的背侧供电增加到18A虽然英特尔计划在先进的工艺节点上实现对等或领先,但值得注意的是,该公司对小芯片的投入及其开发小芯片的经验,以及使用其嵌入式多芯片互连桥(EMIB)技术将它们连接在一起的经验。该公司还创建了名为Foveros的芯片对芯片堆叠技术,这是EMIB3D版本。英特尔本质上已经为客户定制了一个设备机箱,能够根据客户需求更换不同的组件。2015年,英特尔收购Altera提供了所需的灵活性,以随着算法和协议的变化延长这些异构解决方案的寿命。该公司今年早些时候决定收购Tower Semiconductor,这增加了一套可以捆绑到这些产品包中的专业和成熟节点功能。该公司在晶圆制造服务方面投入巨资,帮助客户开发定制解决方案。英特尔副总裁兼产品和设计生态系统支持总经理拉胡尔·戈亚尔表示:“对于内部制造,我们真的在努力恢复工艺技术的领先地位,我们将在未来四年内实现多个节点,第二是外部制造。我们是一家产品公司,所以我们将利用任何对产品线和产品制造来说都是最佳的产品。所以作为一家外部晶圆厂,我们将做到这一点。我们也在领先优势上做了更多的工作。我们也正在从头开始建造我们的晶圆厂。上一代是Intel Custom foundry我们被称为英特尔晶圆服务,因为我们是一家服务企业。”英特尔还通过《芯片法案》(CHIPS Act)获得了美国政府的一些帮助,该法案使其能够在俄亥俄州等地建立晶圆厂和受过教育的员工队伍,并已与美国军事、航空航天和政府联盟(USMAG)达成协议,以使芯片设计和生产能够采用最先进的工艺技术。英特尔是三巨头中唯一一家总部位于美国的领先晶圆厂,它将受益于地缘政治冲突和政府投资。图片英特尔工艺路线图可靠性尽管所有这些都是非常昂贵的先进制造和封装技术,但对可靠性的担忧仍在上升。它现在依赖于一个变量的电子表格,从可能产生无声数据错误的制造缺陷到热热点。imecBeyne说:“过去,人们认为热量会从这些高温地区扩散到低温地区,这样你的芯片上的能量就会均匀分布,然后你就可以从外部均匀地冷却它,不幸的是,如果你等到热量扩散,温度已经太高了。所以你必须增加冷却,随着冷却的增加,你会定位这些热点。而相邻的硅对你没有任何帮助。它必须垂直。因此你必须采用更直接的冷却解决方案。”制造工艺现在是巨大的材料科学挑战。一些材料需要溶解或熔化,而其他材料需要保持完整,所有这些都需要在同一工艺步骤中进行,以确保晶圆厂中有足够的产量。布鲁尔科学公司首席开发官金·阿诺德表示:“这已经足够大了,我们必须创建与清洁和缺陷测试相关的新项目,这些材料需要能够承受400°C及更高的高温稳定性。一旦你让它经受住类似的东西,或是严酷的化学物质,其中一些甚至更难以合理的方式去除。这催生了我们几年前从未真正预料到的其他项目活动。”总结从这个角度来看,过去是一个相当简单的指标-谁可以最快地迁移到下一个流程节点-现在变成了一组复杂且大得多的指标,这些指标在不同的应用程序之间可能有很大的差异。在某些情况下,这可能是一个简单的问题,即哪家晶圆厂在任何时间点都有足够的产能来达到市场窗口,而在其他情况下,它可能涉及一系列复杂的任务和材料组合,这是没有先例的。仅仅因为一家晶圆厂引入了3nm2nm工艺,并不意味着它与另一家相同。虽然扩展仍然很重要,但它可能只适用于高级封装中包含的一个或多个小型逻辑芯片,其中真正的优势是集成所有不同部件所需的专业知识,或者封装本身的设计。哪家晶圆厂可以为特定的应用或用例构建最佳的分类SoC,现在很难确定何时单个部件没有排成一行,但所有的顶行框都被选中了。性能和电源正在成为依赖于应用程序的属性,有时被狭义地定义为单个客户的特定配置。


*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。



关键词: 节点 晶圆

相关推荐

技术专区

关闭