博客专栏

EEPW首页 > 博客 > ASML EUV的最新进展:2025年生产0.55 NA

ASML EUV的最新进展:2025年生产0.55 NA

发布人:旺材芯片 时间:2022-06-25 来源:工程师 发布文章

来源:内容由半导体行业观察(ID:icbank)编译自SemiWiki,谢谢。


在 2022 SPIE 高级光刻会议上,ASML 介绍了 EUV 的最新进展。SemiWiki作者Scotten Jones有机会与 ASML 的 Mike Lercel 一起回顾了演讲。以下是他们讨论的主要内容。


0.33 NA


0.33 NA EUV 系统是当今前沿光刻的生产主力系统。逻辑和 DRAM 的 0.33 NA 系统正在大批量生产。图 1 说明了逻辑和 DRAM(条)和晶圆每年(面积)暴露的 EUV 层数。作者指出,2021 年的逻辑值是 10 层以上 EUV 层的代工厂 5nm 工艺的典型值,2023 年的逻辑将与约 20 层的代工厂 3nm 工艺一致,DRAM 使用量目前约为 5 层。我向迈克询问了未来的 DRAM 曝光,他指出 DRAM 上有大约 8 个关键层,最终其中一些层可能需要多重图案化,使每个晶圆的 EUV 曝光达到 10 个。


图片

图 1. EUV 采用率。


截至 2022 年第一季度,ASML 已出货 136 个 EUV 系统,约 7000 万个晶圆已曝光,见图 2


图片

图 2. 暴露的 EUV 晶圆数量。


系统可用性继续提高,今天略低于 90%。新的 NXE:3600D 比 NXE:3400C 更好,并提供约 93% 的可用性。EUV 系统可用性正在接近 DUV 系统水平 (~95%)。


图片

图 3. 可用性。


NXE:3600D 系统每小时可生产 160 个晶圆 (wph),速度为 30mJ/cm 2,比 NXE:3400C 高 18%。正在开发的 NXE:3800E 系统最初将以 30mJ/cm 2的速度提供 >195 wph ,并在吞吐量升级后提供 220 wph。NXE:3600E 将在像差、重叠和吞吐量方面进行渐进式光学改进。


图片

图 4. 吞吐量改进。


NXE:3400C 的匹配机器覆盖为 1.5nm,NXE:3600D 为 1.1nm。NXE:3600D 使用与最新 DUV 系统相同的全新 12 波长对准系统,由于使用真空,只有一些材料差异。


ASML 路线图包括 2025 年左右 >220wph 的 NXE:4000F,见图 5。


图片

图 5. 系统路线图。


Pellicles 现在实现了超过 90% 的传输,并且制造已转移到三井。我不时遇到一些人认为 Pellicles 是未来的 EUV 产品,但 Pellicles 已经在特定层上投入生产使用了一年多。


图片

图 6. 薄膜性能。


最后,对于 0.33 NA 系统,ASML 正致力于通过增加吞吐量和降低总能量来减少每次曝光所需的能量。


图片

图 7. 每次曝光的能量。


我们讨论了 0.33 NA 系统的最终分辨率限制,理论上 0.33 NA 可以在单次曝光中产生 26nm,目前 Imec 正在研究 28nm 单次曝光,但尚未量产。


0.55 NA(High NA)


如前一节所述,0.33 NA EUV 正在大批量生产。领先的代工工艺现已达到 3nm“节点”,并且需要使用 0.33 NA EUV 进行双图案化。通过将 NA 从 0.33 提高到 0.55,双图案层可以用单次曝光代替。


图 8 说明了 DUV 层数如何在工艺复杂性和多图案化的驱动下增长,直到 0.33 NA EUV 取代了很多多图案化。随着 0.33 NA EUV 多图案使用的增长,0.55 NA EUV 可以再次消除一些多图案减少层数。


图片

图 8. 掩码计数趋势。


high NA 提供更好的图像对数斜率,随机缺陷是 3D,high NA 有助于减少缺陷。ASML 正在研究用于 EUV 的衰减相移掩模,以提高对比度和景深。它们将首先针对 0.33 NA 实施,然后再针对 0.55 NA 实施。


ASML 的路线图将首个高 NA 系统 (EXE:5000) 安装在 ASML 工厂的实验室中,并于 2023 年与 Imec 联合运行,以进行初步评估。EXE:5000 系统应在 2024 年交付给客户,生产型 EXE:5200 系统应在 2025 年左右交付给客户用于生产使用,见图 9。


图片

图 9. High NA 系统路线图。


High-NA 的光学器件比 0.33 NA 的要大得多,需要独特的设计方法。0.55 NA 系统将具有一个变形镜头系统,在一个方向上具有 4 倍的缩小率(与 0.33 NA 相同),在正交方向上具有 8 倍的缩小率。由于分划板的尺寸和 8 倍的缩小,可打印区域尺寸在扫描方向上减半至 16.5nm,见图 10。


图片

图 10. 变形镜头系统。


模拟显示半场和全场曝光之间没有方向差异。半场曝光可以与全场曝光对齐,这样现有的 DUV 和 0.33 NA EUV 系统就可以与 0.55 NA 系统混合使用。如果需要大芯片,0.55 NA 半场曝光可以缝合在一起,可能带有用于全局连接的小缝合边界。


使用伯克利 X 射线光学中心和 Paul Scherrer 研究所的研究工具,ASML 已经能够展示低至 8 的高 NA EUV 分辨率,见图 11。


图片

图 11. 8nm 线/空间。


0.55 NA 系统设计被分解为 4 个可独立测试的子系统(见图 12),2023 年进入 ASML/Imec 实验室的第一个曝光工具的组装工作已经开始(见图 13)。

图片

图 12. High NA 子系统。

图片

图 13. 0.55 NA 系统集成。


ASML 继续致力于增加源功率,最近在研究中证明了超过 500 瓦。从历史上看,研究开发到生产需要大约 2 年的时间。图 14 说明了随时间变化的源功率。


图片

图 14. 源功率趋势。


0.7 NA


在最近的一篇文章中,Tom Dillinger 讨论了对 Intel 的 Mark Phillips 的采访,Mark 提到 0.7 NA 是 0.55 NA 的继任者。我对此感到惊讶,我认为 ASML 已经排除了在 0.55 NA 之后开发任何东西,因为 ASML 必须在 EUV 上进行大量投资。Mike 说,ASML 没有排除 0.7 或更大的 NA 系统,他们正在研究它。他说他们已经排除了比当前 13.5nm 更短的波长(作者指出,曾经有人讨论过更短的波长系统 6.xnm)。他们确实希望任何新系统都可以空运,这限制了系统可以比 0.55 NA 系统大多少。


结论


0.33 NA EUV 系统现在是生产工作系统,不断提高可用性和吞吐量。0.55 NA 系统预计将在 2025 年投入生产,分辨率更高,可简化流程。在0.55NA之外,ASML 正在寻找更高的 NA 系统。EUV 处于有利位置,可以在未来十年继续推动光刻分辨率。


*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。



关键词: ASML

技术专区

关闭