新闻中心

EEPW首页 > EDA/PCB > 新品快递 > 美高森美新型Libero SoC v11.4软件改善运行时间高达35%,显著提升FPGA设计生产率

美高森美新型Libero SoC v11.4软件改善运行时间高达35%,显著提升FPGA设计生产率

作者:时间:2014-08-20来源:电子产品世界收藏

  致力于提供功耗、安全、可靠与高性能半导体技术方案的领先供应商公司(Microsemi Corporation) 宣布推出最新11.4版本系统级芯片()综合设计软件,用于开发最新一代FPGA产品。

本文引用地址:http://www.eepw.com.cn/article/261986.htm

  新型 v11.4用于获奖的SmartFusion2™ FPGA和IGLOO2™ FPGA,改善设计流程运行时间多达35%。新产品还提供了更高的设计效率,具有改善的SmartDesign图形设计画布、改善的文本编辑器、设计报告和约束编辑器功能。改进的SERDES向导具有新的时钟选项,可以提高混合串行数据速率的灵活性。这些新的设计效率降低了美高森美客户创建设计的复杂性,带来更快的基于FPGA设计上市时间。

  自2013年推出用于SmartFusion2 和 IGLOO2 FPGA 产品的 SoC v11.0版本后,美高森美目睹了Libero SoC 设计软件获得广泛的使用及支持,而直到现在已经提供了超过44,000个授权。这些都是源于美高森美FPGA 产品具有用于通信、工业、航天及国防的内在价值,以及在主流应用中具有竞争力的定位。

  最新版本Libero SoC v11.4软件的重要增添项目是用于Linux开源操作系统的完整的设计流程支持,易于使用的新FlashPro Express工具与软件捆绑在一起,实现用于Linux操作系统的设备编程和调试功能。这些功能为系统架构师和设计人员提供了额外的效率,可让他们在整个设计流程中处于相同的开发环境中。

  美高森美高级产品线营销总监 Shakeel Peera表示:“我们推出Libero SoC v11.4设计软件的首要目标是通过各种改进的设计向导、编辑器和脚本引擎 (scripting engine),以及大幅改善的运行时间可靠性,创造增强的易用性和设计效率。这些显着提升可以帮助我们的客户更快地将基于FPGA解决方案推出市场。我们还希望利用庞大的日益增长的企业Linux操作系统安装基础,为客户提供通过全面的Linux设计流程来独立运行整个设计的能力。”

  供货

  现可从美高森美公司网站下载Libero SoC v11.4 软件工具集

  www.microsemi.com/liberosocv11.4。如有任何问题,请联络 Sales.Support@Microsemi.com.



关键词: 美高森美 SoC Libero

评论


相关推荐

技术专区

关闭