新闻中心

EEPW首页 > EDA/PCB > 设计应用 > 基于FPGA的非线性调频信号脉冲压缩的实现

基于FPGA的非线性调频信号脉冲压缩的实现

作者:时间:2016-10-18来源:网络收藏

随着现代电子技术和飞行技术的发展,对雷达的作用距离、分辨能力、测量精度和单值性等性能指标提出越来越高的要求,因此雷达信号形式的选择和信号处理的方式起着重要作用。在技术中,雷达所使用的发射信号波形的设计,是决定性能的关键。(NLFM)的频率随着时间做非线性变化,NLFM相当于将线性调频信号(LFM)所引入的加权网络的作用转移分配在发射系统和接收系

本文引用地址:http://www.eepw.com.cn/article/201610/308433.htm

统中,所以无需再用加权网络,而只需改变发射信号的频谱和匹配滤波器的传递函数,因此,NLFM可直接进行匹配滤波即可得到较低的旁瓣而无需加权处理,从而避免了LFM引入加权所带来的信噪比损失问题。

1 的设计

NLFM信号的设计主要有两种方法,本文中主要研究的是窗函数反求法。假设NLFM信号s(t)=a(t)exp[jθt]的频谱为S(ω),对应的匹配滤波器传递函数为S*(ω),则脉压输出信号)y(t)的频谱为

基于FPGA的非线性调频信号脉冲压缩的实现

如果选择某种窗函数W(ω)作为脉压输出信号的频谱,那么也就确定了脉压输出信号,同时保证了脉压输出有足够低的旁瓣电平。

根据逗留相位原理有

基于FPGA的非线性调频信号脉冲压缩的实现
基于FPGA的非线性调频信号脉冲压缩的实现

对于简单的函数是容易求出其反函数的,但对于解析式复杂的函数来说,求其反函数需借助数值分析的方法。

以Hamming窗为例,其函数表达式为这种方法得到的信号调频斜率为S形曲线,因此这种NLFM信号也称作S形NLFM信号。

2 匹配滤波的实现

匹配滤波的实现方法分为频域与时域处理两种方法。时域求解,随着大时宽的信号匹配时,由于输入离散信号的点数增多,不仅硬件资源需求较大,并且需要逐级延时,导致数据增长,计算时间也会显著增加。频域求解就是将卷积转换为频域的相乘,并利用逆傅里叶变换,将频域相乘的结果再转化时域解。由于本通常应用中时宽带宽积较大,因此需要采用频域方法进行求解,且目前数字逻辑器件处理FFT的速度大幅加快,频域求解法得到广泛的应用。其数学表达式如下

Y(f)=S(f)×H(f) (9)

其中,Y(f)为信号的傅里叶变换;S(f)为雷达系统接收到的信号的傅里叶变换;H(f)为匹配滤波器的傅里叶变换。将Y(f)经傅里叶逆变换,即可得到脉冲压缩信号y(t),如式(10)所示

y(t)=F-1[Y(f)] (10)

3 仿真验证

设计采用Xilinx 自带的IP核实现FFT算法,该IP核可实现定点复数和浮点复数的FFT变换或IFFT变换,变换长度可达到N=2m,m=3~16,数据精度可达到bx=8~34位,旋转因子精度可以达到bw=8~34位。且在FFT核运行期间,可改变变换长度和每级蝶形运算的截断位数,此IP核有4种实现结构,文中采用定点流水线结构来实现FFT和IFFT。FFT的启动由复位信号控制,由于复数乘法器输出无延时,所以IFFT的启动由FFT的变化完成标志信号(done)控制,完成IFFT的启动。

为节省资源,设计通过Matlab仿真预先得出匹配滤波器的FFT变换结果,存储在ROM中,为保证FFT数据与匹配滤波器系数同时送入复数乘法器,FFT核输出数据索引值(addr)需要加一级寄存器延时之后作为ROM输出数据的地址,输出数据H’(f)送入复数乘法器。实现框图如图1所示。

基于FPGA的非线性调频信号脉冲压缩的实现

分别设计了一个带宽30 MHz、时长为10.24μs的线性调频回波信号和一个基于Hamming窗的非线性调频回波信号,采样率为100 MHz,输入信号量化位数为16 bit,在仿真环境下,分别对其进行仿真。

基于FPGA的非线性调频信号脉冲压缩的实现
基于FPGA的非线性调频信号脉冲压缩的实现

图2和图3分别为非线性调频回波信号和线性调频回波信号在FPGA仿真环境下的仿真结果。由于整个设计均采用流水线结构经行串行处理,所以可满足实时处理的需求,其输入输出数据的延时为74.089μs,将其仿真数据读入到Matlab中对其进行取模比较,在取模后的结果中可以看出,非线性调频回波信号经过脉冲压缩后主副瓣比可达-40.39 dB。此外,NLFM的脉冲压缩无需加权处理,从而避免了LFM引入加权所带来的信噪比损失。

4 结束语

本文使用FPGA仿真环境Modelsim仿真并实现了的脉冲压缩算法。采用非线性调频信号无需加权处理即可得到较高的主副瓣比,因而避免了LFM引入加权所带来的信噪比损失问题。随着FPGA技术和非线性调频信号设计方法的发展,非线性调频信号的良好脉冲压缩效果和FPGA实现的灵活性也将得到广泛应用。



评论


相关推荐

技术专区

关闭