新闻中心

EEPW首页 > EDA/PCB > 业界动态 > Mentor Graphics Verification Academy 新增 SystemVerilog 课程和模式库以扩展工程师的专业知识和资源

Mentor Graphics Verification Academy 新增 SystemVerilog 课程和模式库以扩展工程师的专业知识和资源

作者:时间:2016-08-10来源:电子产品世界收藏

   公司为 Verification Academy 增加全新的 课程和模式库以帮助验证工程师提高专业技能、生产率及设计质量。针对 UVM 验证的 面向对象编程 (OOP) 课程由一位业内资深的 专家开发,可帮助工程师扩展 SystemVerilog 技能并在新概念、新技术与新方法学方面保持与时俱进。可供搜索的通用模式库为反复出现的普遍问题提供解决方案,让组织能够记录并分享最佳实践,从而提升验证效率和最终设计质量。

本文引用地址:http://www.eepw.com.cn/article/201608/295304.htm

  “Verification Academy 为基于 UVM 的验证来说是一个全面、简明且易于访问的资源。通过新增的模式库,学院现可提供基于实例且可供搜索的验证设计模式库,”SEAKR 工程公司首席 ASIC 验证工程师 Brian Mathewson 说道,“Verification Academy 由 业内顶级应用工程师提供支持,为提升验证技能提供所需资源以缩短设计和验证周期。”

  业内对 SystemVerilog 技能的需求极高。Verification Academy 为本次新课程邀请了业界公认的专家 Dave Rich,他参与了 SystemVerilog 的初始开发及标准化,并继续为扩展 SystemVerilog 的功能和价值作出杰出贡献。针对 UVM 验证的 SystemVerilog OOP 课程帮助工程师提升 SystemVerilog 技能,以便利用行业所需的高级验证工具和技术。

  设计和验证模式为许多现代工程问题提供优化且可重复使用的解决方案。在验证中,这一成熟有效的工具便于在项目组内分享最佳实践及培养技能。Mentor 一直致力于创建易于搜索、随时可用且可供引用的模式库,从而扩展验证模式的应用。新模式库由软件仿真测试平台的编码扩展到更普遍的验证问题,例如指定断言、定义输入激励和分析等。内容涉及到整个验证领域的各个方面——从规范到方法论,再到实施。该模式库引入了一套系统化的模式组织与记录步骤,适用于软件仿真和硬件仿真等所有验证引擎。

  “SystemVerilog 在业内的采用率超过 75%,因而成为现今最主要的验证语言。我们全新的 Verification Academy SystemVerilog 课程与其他热门 UVM 课程一同为提升验证技能提供卓越的教育资源,”Mentor 设计验证技术部首席科学家 Harry Foster 说道,“ Verification Academy 全新的模式库易于搜索、可供引用和关联,为许多具有挑战性的断言规范和 UVM 测试平台问题提供久经验证的解决方案。”



评论


相关推荐

作为英特尔下一代Tiger Lake-U平台的一部分,Xe架构新核显绝对是重大卖点。英特尔曾在上个月演示通过Tiger Lake核显运行战地5,在1080P分辨率和图形预设为高的情况下游戏大体能保持以30FPS的速度运行。

技术专区

关闭