首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> fpga

英特尔® 加速虚拟蜂窝基站路由器解决方案

  • 基于英特尔® FPGA SmartNIC N6000-PL 平台的英特尔® 加速虚拟蜂窝基站路由器解决方案,助力通信服务提供商 (CoSP) 提高服务创收能力.英特尔应需而动,推出基于英特尔® FPGA SmartNIC N6000-PL 平台的英特尔® 加速虚拟蜂窝基站路由器 (vCSR) 解决方案,以更出色的性能、更低的 TCO、更强的可扩展性,以及对于通信设备互操作性、高时间同步精度和网络切片技术的有力支持,助力通信服务提供商更快部署和管理其 5G 网络,提高服务创收能力,并在网络性能和成本效益之间
  • 关键字: 英特尔  虚拟蜂窝基站  路由器  FPGA  SmartNIC  N6000-PL  

利用英特尔Agilex FPGA 构建更具成本效益、更高效的5G无线电

  • 5G 赛道的竞争已铺开,且迅速延伸到了更多领域。英特尔 FPGA 采用突破性的软逻辑和集成芯粒技术,其性能能够在关键之处发挥作用,且具备您所需的灵活性。抢先推广针对效率和降低开发成本优化的英特尔支持平台。 抓住下一波无线电流行趋势随着对无线连接的需求不断增长,无线电的部署场景日益多样化,无论是宏观覆盖、mMIMO 的用户容量,或是 mmW 的吞吐量。从商业移动网络到私人企业和工厂,无线电是与多种频带、输出功率等级、带宽、不同标准、功能分割以及射频/天线要求相关的复杂系统。无线电必须比以往任何时候都更灵活、
  • 关键字: 英特尔  Agilex  FPGA  5G无线电  

FPGA助力高速未来

  • 超级高铁技术是一种十分新潮的交通概念,它有望以其高速、低压系统重新定义移动出行的未来。超级高铁的核心是在密封管网络中,乘客舱在磁悬浮和电力推进下,以超高速度行驶。确保如此复杂系统的无缝运行和安全性需要先进的控制和监控功能,而这正是FPGA的用武之地。FPGA提供无与伦比的灵活性、安全性和高性能,可处理各类复杂任务,包括管理超级高铁网络中的推进、导航和通信等。凭借自身的可重新编程性、行业领先的安全功能和实时数据处理能力,FPGA在优化超级高铁运输系统的效率和可靠性方面发挥着关键作用,为更快、更安全、更可持续
  • 关键字: FPGA  莱迪思  Lattice  Swissloop  

CPLD/FPGA 内部结构与原理

  • 可编程逻辑器件(Programmable Logic Device,PLD)起源于20世纪70年代,是在专用集成电路(ASIC)的基础上发展起来的一种新型逻辑器件,是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级PLD时,不需额外地改变PCB电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,因此获得了广大硬件工程师的青睐,形成了巨大的PLD产业规模
  • 关键字: FPGA  CPLD  

浅谈因电迁移引发的半导体失效

  • 前言半导体产品老化是一个自然现象,在电子应用中,基于环境、自然等因素,半导体在经过一段时间连续工作之后,其功能会逐渐丧失,这被称为功能失效。半导体功能失效主要包括:腐蚀、载流子注入、电迁移等。其中,电迁移引发的失效机理最为突出。技术型授权代理商Excelpoint世健的工程师Wolfe Yu在此对这一现象进行了分析。 1、 背景从20世纪初期第一个电子管诞生以来,电子产品与人类的联系越来越紧密,特别是进入21世纪以来,随着集成电路的飞速发展,人们对电子产品的需求也变得愈加丰富。随着电子
  • 关键字: 电迁移  半导体失效  世健  Microchip  Flash FPGA  

2024年FPGA将如何影响AI?

  • 随着新一年的到来,科技界有一个话题似乎难以避开:人工智能。事实上,各家公司对于人工智能谈论得如此之多,没有热度才不正常!在半导体领域,大部分对于AI的关注都集中在GPU或专用AI加速器芯片(如NPU和TPU)上。但事实证明,有相当多的组件可以直接影响甚至运行AI工作负载。FPGA就是其中之一。对于那些了解FPGA灵活性和可编程性的人来说,这并不令人惊讶,但对许多其他人来说,这两者之间的联系可能并不明显。问题的关键在于通过软件让一些经典的AI开发工具(如卷积神经网络(CNN))针对FPGA支持的可定制电路设
  • 关键字: FPGA  AI  莱迪思  

Verilog HDL基础知识9之代码规范示例

  • 2.Verilog HDL 代码规范 模板示例//******************************************************** // //   Copyright(c)2016, ECBC  //   All rights reserved // //   File name    
  • 关键字: FPGA  verilog HDL  代码规范  

Verilog HDL基础知识9之代码规范

  • 1.RTL CODE 规范1.1标准的文件头在每一个版块的开头一定要使用统一的文件头,其中包括作者名,模块名,创建日期,概要,更改记录,版权等必要信息。 统一使用以下的文件头:其中*为必需的项目//******************************************************** // //   Copyright(c)2016, ECBC  //   All rights&nbs
  • 关键字: FPGA  verilog HDL  代码规范  

详解CPLD/FPGA架构与原理

  • 可编程逻辑器件(Programmable Logic Device,PLD)起源于20世纪70年代,是在专用集成电路(ASIC)的基础上发展起来的一种新型逻辑器件,是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级PLD时,不需额外地改变PCB电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,因此获得了广大硬件工程师的青睐,形成了巨大的PLD产业规模
  • 关键字: CPLD  FPGA  架构  

Verilog HDL基础知识8之综合语句

  • 可综合语句1.要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:2.不使用initial。3.不使用#10。4.不使用循环次数不确定的循环语句,如forever、while等。5.不使用用户自定义原语(UDP元件)。6.尽量使用同步方式设计电路。7.除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。8.用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。9.所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使
  • 关键字: FPGA  verilog HDL  综合语句  

Verilog HDL基础知识7之模块例化

  • Verilog使用模块(module)的概念来代表一个基本的功能块。一个模块可以是一个元件,也可以是低层次模块的组合。常用的设计方法是使用元件构建在设计中多个地方使用的功能块,以便进行代码重用。模块通过接口(输入和输出)被高层的模块调用,但隐藏了内部的实现细节。这样就使得设计者可以方便地对某个模块进行修改,而不影响设计的其他部分。在verilog中,模块声明由关键字module开始,关键字endmodule则必须出现在模块定义的结尾。每个模块必须具有一个模块名,由它唯一地标识这个模块。模块的端口列表则描述
  • 关键字: FPGA  verilog HDL  模块例化  

Verilog HDL基础知识6之语法结构

  • 虽然 Verilog 硬件描述语言有很完整的语法结构和系统,这些语法结构的应用给设计描述带来很多方便。但是 Verilog是描述硬件电路的,它是建立在硬件电路的基础上的。有些语法结构是不能与实际硬件电路对应起来的,比如 for 循环,它是不能映射成实际的硬件电路的,因此,Verilog 硬件描述语言分为可综合和不可综合语言。下面我们就来简单的介绍一下可综合与不可综合。(1) 所谓可综合,就是我们编写的Verilog代码能够被综合器转化为相应的电路结构。因此,我们常用可综合语句来描述数字硬件电路。(2) 所
  • 关键字: FPGA  verilog HDL  语法结构  

Verilog HDL基础知识4之阻塞赋值 & 非阻塞赋值

  • 阻塞赋值语句串行块语句中的阻塞赋值语句按顺序执行,它不会阻塞其后并行块中语句的执行。阻塞赋值语句使用“=”作为赋值符。  例子 阻塞赋值语句  reg x, y, z;  reg [15:0] reg_a, reg_b;  integer count;   // 所有行为语句必须放在 initial 或 always 块内部  initial  begin          x
  • 关键字: FPGA  verilog HDL  阻塞赋值  非阻塞赋值  

Verilog HDL基础知识4之wire & reg

  • 简单来说硬件描述语言有两种用途:1、仿真,2、综合。对于wire和reg,也要从这两个角度来考虑。\从仿真的角度来说,HDL语言面对的是编译器(如Modelsim等),相当于软件思路。 这时: wire对应于连续赋值,如assignreg对应于过程赋值,如always,initial\从综合的角度来说,HDL语言面对的是综合器(如DC等),要从电路的角度来考虑。 这时:1、wire型的变量综合出来一般是一根导线;2、reg变量在always块中有两种情况:(1)、always后的敏感表中是(a or b
  • 关键字: FPGA  verilog HDL  wire  reg  

利用FPGA进行基本运算及特殊函数定点运算

  • 一、前言  FPGA以擅长高速并行数据处理而闻名,从有线/无线通信到图像处理中各种DSP算法,再到现今火爆的AI应用,都离不开卷积、滤波、变换等基本的数学运算。但由于FPGA的硬件结构和开发特性使得其对很多算法不友好,之前本人零散地总结和转载了些基本的数学运算在FPGA中的实现方式,今天做一个系统的总结归纳。二、FPGA中的加减乘除1.硬件资源  Xilinx 7系列的FPGA中有DSP Slice ,叫做“DSP48E1”这一专用硬件资源,这是一个功能强大的计算单元,单就用于基本运算的部分有加减单元和乘
  • 关键字: FPGA  数学运算  
共4794条 1/320 1 2 3 4 5 6 7 8 9 10 » ›|

fpga介绍

FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可 [ 查看详细 ]
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473