新闻中心

EEPW首页 > EDA/PCB > 设计应用 > FPGA中嵌入式块RAM(BRAM)

FPGA中嵌入式块RAM(BRAM)

作者:时间:2012-11-12来源:网络收藏

大多数都具有内嵌的块,这大大拓展了的应用范围和灵活性。块可被配置为单端口、双端口RAM、内容地址存储器 (CAM)以及FIFO等常用存储结构。RAM、FIFO是比较普及的概念,在此就不冗述。CAM存储器在其内部的每个存储单元中都有一个比较逻辑,写入 CAM中的数据会和内部的每一个数据进行比较,并返回与端口数据相同的所有数据的地址,因而在路由的地址交换器中有广泛的应用。除了块RAM,还可以将 中的LUT灵活地配置成RAM、ROM和FIFO等结构。在实际应用中,芯片内部块RAM的数量也是选择芯片的一个重要因素。

本文引用地址:http://www.eepw.com.cn/article/189767.htm

单片块RAM的容量为18k比特,即位宽为18比特、深度为1024,可以根据需要改变其位宽和深度,但要满足两个原则:首先,修改后的容量(位宽 深度)不能大于18k比特;其次,位宽最大不能超过36比特。当然,可以将多片块RAM级联起来形成更大的RAM,此时只受限于芯片内块RAM的数量,而 不再受上面两条原则约束。

linux操作系统文章专题:linux操作系统详解(linux不再难懂)


关键词: FPGA BRAM RAM 嵌入式

评论


相关推荐

技术专区

关闭