新闻中心

EEPW首页 > 模拟技术 > 设计应用 > FPGA实现FIR抽取滤波器的设计

FPGA实现FIR抽取滤波器的设计

作者:时间:2009-04-29来源:网络收藏

摘要:采用基于分布式算法思想的方法来设计滤波器,利用FDAt001设计系统参数,计算滤波器系数,同时为了要满足系统要求考虑系数的位数。根据数字滤波器结构,对数字滤波器的实现方法进行分析。
关键词:FIR;;滤波器系数

本文引用地址:http://www.eepw.com.cn/article/188940.htm


O 引言
FIR(fini te impulse response)滤波器是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位冲激响应是有限的,没有输入到输出的反馈,系统稳定。因此,FIR滤波器在通信、图像处理、模式识别等领域都有着广泛的应用。在工程实践中,往往要求对信号处理要有实时性和灵活性,而已有的一些软件和硬件实现方式则难以同时达到这两方面的要求。随着可编程逻辑器件的发展,使用来实现FIR滤波器,既具有实时性,又兼顾了一定的灵活性,越来越多的电子工程师采用FPGA器件来实现FIR滤波器。


1 FIR滤波器工作原理
在进入FIR滤波器前,首先要将信号通过A/D器件进行模数转换,使之成为8bit的数字信号,一般可用速度较高的逐次逼进式A/D转换器,不论采用乘累加方法还是分布式算法设计FIR滤波器,滤波器输出的数据都是一串序列,要使它能直观地反应出来,还需经过数模转换,因此由FPGA构成的FIR滤波器的输出须外接D/A模块。FPGA有着规整的内部逻辑阵列和丰富的连线资源,特别适合于数字信号处理任务,相对于串行运算为主导的通用DSP芯片来说,其并行性和可扩展性更好,利用FPGA乘累加的快速算法,可以设计出高速的FIR数字滤波器。


2 16阶滤波器结构
在滤波过程中实现抽取,对于抽取率为N的而言,当进来N个数据时滤波器完成1次滤波运算,输出1次滤波结果。的结果和先滤波后抽取的结果是一致的,只是对于同样的数据,进行滤波运算的次数大大减少。在数字系统中采用拙取滤波器的最大优点是增加了每次滤波的可处理时间,从而达到实现高速输入数据的目的。采样数据与滤波器系数在控制电路的作用下,分别对应相乘并与前一个乘积累加,经过多次(有多少阶就要多少次)反复的乘累加最后输出滤波结果,将相同系数归类,16阶滤波器公式:

乘法器的数量减少一半,但加法器的数量增多了,但相对乘法运算来说,加法运算所占用的资源少的多,运算的速度也快得多。


上一页 1 2 3 下一页

关键词: FPGA FIR 抽取滤波器

评论


相关推荐

技术专区

关闭