首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> ip核

线性调频信号基于FPGA IP核的脉冲压缩设计

  • 摘要:为实现线性调频信号的数字脉冲压缩,设计一个FPGA硬件平台,并着重提出一种基于FPGA IP核的脉冲压缩设计方法。针对脉冲压缩进行了理论分析和Matlab仿真,设计完成后对系统软、硬件进行了全面测试,并根据实测数
  • 关键字: FPGA  线性调频信号  IP核  脉冲压缩    

莱迪思和FLEXIBILIS推出首个FPGA以太网交换IP核

  • 莱迪思半导体公司(NASDAQ: LSCC)和Flexibilis Oy今日宣布了即可获取Flexibilis以太网交换(FES)IP核。三速(10Mbps/100Mbps/1Gbps)FES IP核工作在以太网第2层,每个端口具有Gigabit的转换能力。支持Gigabit光纤和Gigabit双绞线铜以太网接口。支持的服务质量高达每端口四个队列。
  • 关键字: LSCC  FES – HSR IP核  

Tensilica成首家获DTS广播认证的音频IP核供应商

  •   Tensilica日前宣布,成为首家获得DTS广播和DTS DMP(数字媒体播放器)认证的音频IP(自主知识产权)核供应商。该项认证基于Tensilica HiFi 2和HiFi EP音频DSP(数字信号处理器)IP核以及优化的软件程序,为开发人员提供了经过验证的SoC(片上系统)解决方案,可缩短新的全兼容设计的面市时间。Tensilica也曾于2009年9月成为首家获得DTS-HD Master Audio认证的IP核供应商。
  • 关键字: Tensilica  IP核  

智能卡控制器IP核的设计与实现

  • 摘要:本文介绍了一款兼容ISO7816-3协议的智能卡控制器IP核。该IP核能实现对智能卡的探测、电源管理、复位和...
  • 关键字: ASIC  ISO7816  智能卡  IP核  Verilog  语言  

SOPC设计中自定义IP的开发

  • 1  SOPC技术与IP核 SOPC的主要思想是提供一个IP库,用户从IP库中选择IP核来组装系统,因此IP核是SOPC设计的关键技术之一。虽然IP核一词在众多场合被使用,但它并没有一个统一的定义。从概念上可以这样理解它:IP核是指将一些在数字电路中常用但比较复杂的功能块,如FIR滤波器、SDRAM控制器、UART控制器等设计成可修改参数的模块,让其他用户可以直接调用这些模块,这样就大大减轻了工程师的负担,避免重复劳动,缩短系统开发时间。
  • 关键字: SOPC  IP核  

Tensilica授权富士通音频、基带DSP和数据处理器IP核

  •   Tensilica日前宣布,富士通与Tensilica签署了一项多年的合作协议,授权富士通使用音频、基带DSP(数字信号处理器)和数据处理器(DPU)IP核,Tensilica DPU IP核结合了高性能DSP和嵌入式控制处理器的功能,在相同的功耗水平下,可以提供超乎普通DSP和嵌入式控制处理器数十倍的性能。该协议赋予富士通所有部门使用Tensilica IP核的权限。 
  • 关键字: Tensilica  DSP  IP核  

和芯微电子坚持高效、专注和精细

  •   作为IP核研发企业,和芯微电子也越来越关注企业在战略性新兴产业中的发展,例如,我们会关注物联网与移动互联对于接口的广泛需求,并强化USB3.0IP核和SATA高速串行接口的研发工作,这两方面在未来会有较多的需求。另外,触摸屏也是我们比较关注的领域。这些领域对于传输速率、功耗、稳定性方面有着各自的差异化需求,我们也力争在这几个指标上做到最好。   
  • 关键字: 和芯微电子  IP核  

IP核是我国IC产业发展的重中之重

  •   IP(Intellectual Property)核是指集成电路设计中预先设计、验证好的功能模块,由于性能高、功耗低、技术密集度高、知识产权集中、商业价值昂贵,是集成电路设计产业的最关键产业要素和竞争力体现。随着超大规模集成电路设计、制造技术的发展,集成电路(IC)设计步入SoC(片上系统/单芯片,SySTem ON Chip)时代,设计变得日益复杂,为了加快产品上市时间,以IP核复用、软硬件协同设计和超深亚微米/纳米级设计为技术支撑的SoC已成为当今超大规模集成电路的主流。
  • 关键字: IC  IP核  

赛灵思与VSofts演示基于赛灵思FPGA的低延时实时H.264/AVC-I IP核压缩解决方案

  •   球可编程平台领导厂商赛灵思公司与Vanguard Software Solutions 公司 (VSofts) 在 IBC2010 大会上联合演示了 VSofts H.264/AVC-I IP 核的强大功能:能实现超低延时,且其现场可编程门阵列 (FPGA) 实施方案不仅符合国际电信联盟 (ITU) 和 Panasonic AVC-Intra 标准,而且还支持业界标准的编解码器,能在实时视频广播应用中确保源视频到编码视频的最小延迟。   VSofts 市场营销副总裁 Felix Nemirovsky
  • 关键字: 赛灵思  FPGA  IP核  

2010年中国国际IP核推介会上海站举办在即

  •   在工业和信息化部电子信息司的指导和国家集成电路公共服务联盟的大力支持下,由工业和信息化部软件与集成电路促进中心(CSIP)主办,上海集成电路技术与产业促进中心承办的2010年中国(上海)国际IP核推介会于9月17日在上海集成电路技术与产业促进中心1楼多功能厅举行。   此次推介会将深入分析和探讨我国IP核市场发展现状,并重点推介接口类IP核技术,来自各知名厂商的技术专家将与各位分享接口类IP的相关技术和应用解决方案,推动我过IP及SoC市场的健康良性发展。同时,继2010年中国国际IP核推介会北京站
  • 关键字: IP核  嵌入式CPU  

基于FPGA实现的SCI接口电路IP核的设计

  • 随着超大规模集成电路(VeryLargeScaleIntegrationVLSI)工艺技术的发展,芯片的规模越来越大,集成规模...
  • 关键字: FPGA  IP核  SCI接口电路  VLSI  

基于FPGA的仿真系统数据采集控制器IP核设计

  • 现代模拟仿真技术[1]广泛应用在系统设计、系统分析以及教育训练中。在模拟过程中,存在大量向前端模拟装...
  • 关键字: IP核  仿真系统  数据采集  FPGA  

DDR3存储器接口控制器IP加速数据处理应用

  • DDR3存储器系统可以大大提升各种数据处理应用的性能。然而,和过去几代(DDR和DDR2)器件相比,DDR3存储器器件...
  • 关键字: FPGA  IP核  DDR3  数据处理  
共168条 8/12 |‹ « 3 4 5 6 7 8 9 10 11 12 »

ip核介绍

IP核概述   IP核则是一段具有特定电路功能的硬件描述语言程序,该程序与集成电路工艺无关,可以移植到不同的半导体工艺中去生产集成电路芯片。利用IP核设计电子系统,引用方便,修改基本元件的功能容易。具有复杂功能和商业价值的IP核一般具有知识产权,尽管IP核的市场活动还不规范,但是仍有许多集成电路设计公司从事IP核的设计、开发和营销工作。IP核有两种,与工艺无关的VHDL程序称为软核;具有特定电路 [ 查看详细 ]

热门主题

IP核    树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473