首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> .verilog.hdl.

.verilog.hdl. 文章 进入.verilog.hdl.技术社区

基于Verilog计算精度可调的整数除法器的设计

  • 0 引 言
    除法器是电子技术领域的基础模块,在电子电路设计中得到广泛应用。目前,实现除法器的方法有硬件实现和软件实现两种方法。硬件实现的方法主要是以硬件的消耗为代价,从而有实现速度快的特点。用硬件的方
  • 关键字: Verilog  计算  精度可调  整数除法器    

基于Verilog的FPGA与USB 2.0高速接口设计

  • 0 引 言
    USB(通用串行总线)是英特尔、微软、IBM、康柏等公司1994年联合制定的一种通用串行总线规范,它具有数据传输速度快,成本低,可靠性高,支持即插即用和热插拔等优点,迅速得到广泛应用。
    在高速的数
  • 关键字: Verilog  FPGA  USB  高速接口    

NI FlexRIO是否必须使用FPGA模块

  • NILabVIEWFPGA模块可以帮助您利用LabVIEW程序框图对一个FPGA进行编程。在其底层,该模块采用代码生成技术实...
  • 关键字: NI  LabVIEW  FPGA  HDL  COTS  

基于神经网络电机 速度控制器的SOPC系统

  • 针对机器人伺服控制系统高速度、高精度的要求,介绍一种全数字化的基于神经网络控制的直流电机速度伺服控制系统的设计方案。速度控制器采用BP网络参数辨识自适应控制,并将其在FPGA进行硬件实现;同时用Nios II软核处理器作为上位机,构成一个完整的速度伺服控制器的片上可编程系统(SOPC)。实验结果表明,该控制系统具有较高的控制精度、较好的稳定性和灵活性。
  • 关键字: SOPC  系统  控制器  速度  神经网络  电机  基于  神经网络   伺服控制   现场可编程门阵列   Verilog HDL  

基于Verilog的顺序状态逻辑FSM设计与仿真

基于Verilog-HDL的轴承振动噪声电压峰值检测

  • 引言   在轴承生产行业中,轴承振动噪声的峰值检测是一项重要的指标。以往,该检测都是采用传统的模拟电路方法,很难做到1:1地捕捉和保持较窄的随机波形的最大正峰值。本文叙述了基于Verilog-HDL与高速A/D转换器相结合所实现的快速轴承噪声检测方法。   1 振动噪声电压峰值检测方案的确定   1.1 轴承振动噪声的产生及检测   图1是轴承振动噪声电压峰值检测系统的示意图。由于加工设备、技术、环境等因素的影响,生产的轴承都程度不同地带有伤疤。图1中,假设某待测轴承有一处伤疤。由于伤痕的存在,轴
  • 关键字: Verilog  轴承  振动噪声  电压峰值检测  

基于SystemC的系统级芯片设计方法研究

  •   随着集成电路制造技术的迅速发展,SOC设计已经成为当今集成电路设计的发展方向。SO C设计的复杂性对集成电路设计的各个层次,特别是对系统级芯片设计层次,带来了新挑战,原有的HDL难以满足新的设计要求。   硬件设计领域有2种主要的设计语言:VHDL和Verilog HDL。而两种语言的标准不统一,导致软硬件设计工程师之间工作交流出现障碍,工作效率较低。因此,集成电路设计界一直在寻找一种能同时实现较高层次的软件和硬件描述的系统级设计语言。Synopsys公司与Coware公司针对各方对系统级设计语言的
  • 关键字: SOC  SystemC  集成电路  VHDL  Verilog HDL  

基于Verilog HDL的异步FIFO设计与实现

  •   在现代IC设计中,特别是在模块与外围芯片的通信设计中,多时钟域的情况不可避免。当数据从一个时钟域传递到另一个域,并且目标时钟域与源时钟域不相关时,这些域中的动作是不相关的,从而消除了同步操作的可能性,并使系统重复地进入亚稳定状态[1]。在有大量的数据需要进行跨时钟域传输且对数据传输速度要求比较高的场合,异步FIFO是一种简单、快捷的解决方案。   异步FIFO用一种时钟写入数据,而用另外一种时钟读出数据。读写指针的变化动作由不同的时钟产生。因此,对FIFO空或满的判断是跨时钟域的。如何根据异步的指针
  • 关键字: FIFO  异步  Verilog HDL  IC  亚稳态  

HDL设计探究

  • 一.可移植性编码 1.只使用IEEE标准类型(VHDL):(1)使用STD_LOGIC类型,而不是STD_ULOGIC类型;(2)设计中不要创建过多的的子类型;(3)不要使用BIT和BIT_VECTOR类型。 2.不使用立即数:在设计中,不要使用立即数(但作为例外,可使用0和1),推荐使用常量。使用常量有以下优点:(1)常量对于一个设计具有更多的灵活性;(2)常量值只需要在一个地方修改;(3)编译器可能只支持常量类型,不支持立即数。 3.对于VHDL程序,把常数和参数定义在由1个或多个文件组成的程序
  • 关键字: HDL 设计 可编程  

一种基于FPGA的准单输入调变序列生成器设计

  •   1.引言   随着集成电路复杂度越来越高,测试开销在电路和系统总开销中所占的比例不断上升,测试方法的研究显得非常突出。目前在测试源的划分上可以采用内建自测试或片外测试。内建自测试把测试源和被测电路都集成在芯片的内部,对于目前SOC级的芯片测试如果采用内建自测试则付出的硬件面积开销则是很大的,同时也增加了芯片设计的难度:因此片外测试便成为目前被普遍看好的方法。由于FPGA具有可重构的灵活性,利用FPGA来作为测试源实现片外测试就是一种非常有效的手段。   由于伪随机模式测试只需要有限个数的输入向量便
  • 关键字: 嵌入式系统  单片机  FPGA  序列生成器  Verilog  HDL  MCU和嵌入式微处理器  

基于SOPC的视频编解码IP核的设计

  • 摘  要:本论文介绍视频编解码IP核在SOPC中的设计,用Verliog HDL实现其各个功能子模块,全部调试仿真通过合并成一个模块,实现了视频信号的采集,分配,存储以及色度空间的转换。整个模块都通过仿真实现与验证,很好的达到了系统的要求。关键字:SOPC;视频编解码;IP核;Verilog HDL  引言 基于Nios II软核的SOPC是Altera公司提出的片上可编程系统解决方案,它将CPU、存储器、I/O接口、DSP模块以及锁相环的系统设
  • 关键字: 嵌入式系统  单片机  SOPC  频编解码  SOPC  视频编解码  IP核  Verilog  HDL  

单片机软硬件联合仿真解决方案

  •   摘要:本文介绍一种嵌入式系统仿真方法,通过一种特殊设计的指令集仿真器ISS将软件调试器软件Keil uVision2和硬件语言仿真器软件Modelsim连接起来,实现了软件和硬件的同步仿真。     关键词:BFM,TCL,Verilog,Vhdl,PLI,Modelsim,Keil uVision2,ISS,TFTP,HTTP,虚拟网卡,Sniffer,SMART MEDIA,DMA,MAC,SRAM,CPLD   缩略词解释:   BFM:总线功能模块。在HDL
  • 关键字: BFM  TCL  Verilog  Vhdl  PLI  Modelsim  MCU和嵌入式微处理器  

基于Verilog HDL的FIR数字滤波器设计与仿真

  • 引言:数字滤波器是语音与图像处理、模式识别、雷达信号处理、频谱分析等应用中的一种基本的处理部件,它能满足波器对幅度和相位特性的严格要求,避免模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。有限冲激响应(FIR)滤波器能在设计任意幅频特性的同时保证严格的线性相位特性。   一、FIR数字滤波器   FIR滤波器用当前和过去输入样值的加权和来形成它的输出,如下所示的前馈差分方程所描述的。   FIR滤波器又称为移动均值滤波器,因为任何时间点的输出均依赖于包含有最新的M个输入样值的一个窗。
  • 关键字: 嵌入式系统  单片机  Verilog  HDL  FIR  数字滤波器  嵌入式  

HDL编码风格与编码指南

  • 第一部分:说明 1.准则的重要程度分三个层次:   好的经验 -- 表明这条规则是一般情况下比较好的经验,在大多数的情况下要遵循,在特殊情况下可以突破这一规则。   推荐 -- 推荐这一规则,在遵循这一规则的条件下,一般不会出现问题;   强烈推荐 -- 表示严格规定,除非出现特别特殊的情况,否则要严格遵守。  2.斜体部分一般表明不按照规则执行,会出现的问题和现象,或一些相关注释。  3.版本及修订工作    姓名 徐欣,孙广富   修订 规范的最初发布   日期 2002-6-30
  • 关键字: HDL  编码风格  编码指南  嵌入式  

关于学习verilog

  • 规范很重要   工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得从头开始设计;如果需要在原来的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用性。   在逻辑方面,我觉得比较重要的规范有这些:   1.设计必须文档化。要将设计思路,详细实现等写入文档,然
  • 关键字: verilog  
共200条 13/14 |‹ « 5 6 7 8 9 10 11 12 13 14 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473