首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> .verilog.hdl.

.verilog.hdl. 文章 进入.verilog.hdl.技术社区

Verilog HDL高级语法结构―函数(function)

  • 函数的目的是返回一个用于表达式的值。
    1.函数定义语法function 返回值的类型或范围> (函数名);
    端口说明语句>
    变量类型说明语句> begin
    语句>
    ...
    end
    endfunction 请注
  • 关键字: function  Verilog  HDL  函数    

Verilog HDL高级语法结构―任务(TASK)

  • 如果传给任务的变量值和任务完成后接收结果的变量已定义,就可以用一条语句启动任务。任务完成以后控制就传回启动过程。如任务内部有定时控制,则启动的时间可以与控制返回的时间不同。任务可以启动其他的任务,其他
  • 关键字: Verilog  TASK  HDL    

Verilog HDL硬件描述语言:task和function说明语句

  • task和function说明语句的区别task和function说明语句分别用来定义任务和函数。利用任务和函数可以把一个很大的程序模块分解成许多较小的任务和函数便于理解和调试。输入、输出和总线信号的值可以传入或传出任务和函
  • 关键字: function  Verilog  task  HDL    

verilog HDL基础教程之:实例3 数字跑表

  • 实例的内容及目标1.实例的主要内容本节通过Verilog HDL语言编写一个具有“百分秒、秒、分”计时功能的数字跑表,可以实现一个小时以内精确至百分之一秒的计时。数字跑表的显示可以通过编写数码管显示程序来
  • 关键字: verilog  HDL  基础教程  实例    

Verilog HDL基础教程之:时序逻辑电路

  • 在Verilog HDL语言中,时序逻辑电路使用always语句块来实现。例如,实现一个带有异步复位信号的D触发器如下。例1:带异步复位的D触发器1。wire Din;wire clock,rst;reg Dout;always @ (posedge clock or negedge rs
  • 关键字: Verilog  HDL  基础教程  时序逻辑电路    

Verilog HDL语言学前必知的基础

  • Verilog HDL的历史和进展 1.什么是Verilog HDLVerilog HDL是硬件描述语言的一种,用于数字电子系统设计。它允许设计者用它来进行各种级别的逻辑设计,可以用它进行数字逻辑系统的仿真验证、时序分析、逻辑综合。它是
  • 关键字: Verilog  HDL  基础    

Verilog HDL基础教程之:赋值语句和块语句

  • 非阻塞赋值和阻塞赋值在Verilog HDL语言中,信号有两种赋值方式:非阻塞(Non_Blocking)赋值方式和阻塞(Blocking)赋值方式。(1)非阻塞赋值方式。典型语句:b = a;① 块结束后才完成赋值操作。② b的值并不是立刻就改
  • 关键字: Verilog  HDL  基础教程    

Verilog HDL基础教程之:数据类型和运算符

  • 常用数据类型Verilog HDL中总共有19种数据类型,数据类型是用来表示数字电路硬件中的数据储存和传送元素的。在本书中,我们先只介绍4个最基本的数据类型,它们分别是:reg型,wire型,integer型和parameter型。其他
  • 关键字: Verilog  HDL  基础教程  数据类型    

Verilog HDL基础教程之:实例5 交通灯控制器

  • 实例的内容及目标 1.实例的主要训练内容本实例通过Verilog HDL语言设计一个简易的交通等控制器,实现一个具有两个方向、共8个灯并具有时间倒计时功能的交通灯功能。2.实例目标通过本实例,读者应达到下面的目标。掌握
  • 关键字: Verilog  HDL  基础教程  实例    

Verilog HDL基础j教程之:程序基本结构

  • Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言,也是一种结构描述的语言。也就是说,既可以用电路的功能描述,也可
  • 关键字: Verilog  HDL  基础  程序    

Verilog HDL基础教程之:实例4 PS/2接口控制

  • 实例的内容及目标1.实例的主要内容本实例通过Verilog编程实现在红色飓风II代Xilinx开发板上面实现对键盘、LCD、RS-232等接口或者器件进行控制,将有键盘输入的数据在LCD上面显示出来,或者通过RS-232在PC机上的超级
  • 关键字: Verilog  HDL  PS  基础教程    

Verilog HDL基础教程之:组合逻辑电路的实现

  • 数字逻辑电路分为两种,分别是组合逻辑与时序逻辑。(1)组合逻辑:输出只是当前输入逻辑电平的函数(有延时),与电路的原始状态无关的逻辑电路。也就是说,当输入信号中的任何一个发生变化时,输出都有可能会根据其变化
  • 关键字: Verilog  HDL  基础教程  组合逻辑电路    

Verilog HDL与C语言的区别与联系详解

  • 数字电路设计工程师一般都学习过编程语言、数字逻辑基础、各种EDA软件工具的使用。就编程语言而言,国内外大多数学校都以C语言为标准,只有少部分学校使用Pascal 和Fortran。算法的描述和验证常用C语言来做。例如要
  • 关键字: Verilog  HDL  C语言  详解    

Verilog HDL独家程序设计经验分享

  • 对于Verilog HDL的初学者,经常会对语法中的几个容易混淆的地方产生困惑。下面列出几个常见问题和解决它们的小窍门。1.“=”和“=”的区分方法前面的内容已经从原理上解释了阻塞(=)和非阻塞(=
  • 关键字: Verilog  HDL    程序设计    

Verilog HDL基础教程之:程序基本结构

  • Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言,也是一种结构描述的语言。也就是说,既可以用电路的功能描述,也可以
  • 关键字: Verilog  HDL  基础教程  程序    
共200条 8/14 |‹ « 5 6 7 8 9 10 11 12 13 14 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473