博客专栏

EEPW首页 > 博客 > 如何快速生成Verilog代码文件列表?(内附开源C代码)

如何快速生成Verilog代码文件列表?(内附开源C代码)

发布人:FPGA小师兄 时间:2023-01-13 来源:工程师 发布文章

用工具建工程对Verilog进行仿真、综合或者其它操作时,在写脚本的时候常常需要工程中Veriog文件的列表,若工程中.v文件过多,则常常需要手动编写文件列表。本文教给大家用C语言简单写的一个自动生成某个文件夹下所有.v文件列表的方法。

如果你觉得本文对你有帮助,欢迎转发分享或赞赏

使用说明

环境:Windows 7操作系统或其它常用Windows操作系统

编译器:DEV C++或其它

使用方法:随便找个C语言的编译器,静态编译生成exe文件后,可随意拷贝至某文件夹下,双击运行,等待输出rtl.f文件即可。

使用举例:将生成的exe文件拷贝至C:\modeltech64_10.4目录下,双击运行,如下动图。


生成的rtl.f打开后如下图所示。


另外,该代码提供了一种操作Windows系统路径的操作方法,可以简单修改一下,用作它用。如找到某种类型的文件或某个文件进行拷贝、转移、修改、删除等操作。

源代码

#include <stdio.h>

#include <windows.h>

#include <unistd.h>

#include <math.h>

#include <time.h>

#include <string.h>

#define FILE_NUM 50000

#define N 50000

static int count_file=0;

typedef struct

{

int num;

char name[800];

int vtype;

}filetype;

filetype files[FILE_NUM];

BOOL IsRoot(LPCTSTR lpszPath)

{

TCHAR szRoot[4];

wsprintf(szRoot, "%c:\\", lpszPath[0]);

return (lstrcmp(szRoot, lpszPath) == 0);

}

void FindInAll(LPCTSTR lpszPath)

{

WIN32_FIND_DATA wfd;

TCHAR szFind[MAX_PATH];

HANDLE hFind;

TCHAR szFile[MAX_PATH];

lstrcpy(szFind, lpszPath);

if (!IsRoot(szFind))

lstrcat(szFind, "\\");

lstrcat(szFind, "*.*"); // 找所有.v文件

hFind = FindFirstFile(szFind, &wfd);

if (hFind == INVALID_HANDLE_VALUE) // 如果没有找到或查找失败

return;

do

{

if (wfd.cFileName[0] == '.')

continue; // 过滤这两个目录

if (wfd.dwFileAttributes & FILE_ATTRIBUTE_DIRECTORY)

{

if (IsRoot(lpszPath))

wsprintf(szFile, "%s%s", lpszPath, wfd.cFileName);

else

wsprintf(szFile, "%s\\%s", lpszPath, wfd.cFileName);

FindInAll(szFile); // 如果找到的是目录,则进入此目录进行递归

}

else

{

if (IsRoot(lpszPath))

wsprintf(szFile, "%s%s", lpszPath, wfd.cFileName);

else

wsprintf(szFile, "%s\\%s", lpszPath, wfd.cFileName);

printf("%s\n",szFile);

files[count_file].num=count_file;

strcpy(files[count_file].name,szFile);

count_file = count_file + 1;

//printf("count_file=%d,num=%s\n",count_file, files[count_file-1].name);

// 对文件进行操作

}

} while (FindNextFile(hFind, &wfd));

FindClose(hFind); // 关闭查找句柄

}

int main(int argc, char* argv[])

{

FILE *fp1;

int i,j,k;

char buf[800]=" ";

char buf1[800]=" ";

int type=0;

if ((fp1=fopen("rtl.f","w+"))==NULL)

return;

memset(buf,0,800);

memset(buf1,0,800);

memset(files,0,FILE_NUM*sizeof(filetype));

getcwd(buf, sizeof(buf));

printf("current working directory : %s\n", buf);

FindInAll(buf);

for(i=0;i<count_file;i++)

{

memset(buf1,0,800);

strcpy(buf1,files[i].name);

type=0;

k=strlen(buf1);

//printf("\n k=%d;",k);

for(j=0;j<=k;j++)

{

if((buf1[j]=='.') && ((buf1[j+1]=='v') || (buf1[j+1]=='V')) && (j==k-2))

//if((buf1[j]=='.') && (j==k-2))

{

//printf("\n j=%d\n",j);

type=1;

files[i].vtype=1;

fprintf(fp1,"%s\n",files[i].name);

}

}

}

getch();

fclose(fp1);

return 0;

}

版本更新记录


版本号:V1.1.2

更新日期:2013-07-17

14:46:46 修改代码,扩展name的长度为800,可以处理长路径的情况

版本号:V1.1.1

更新日期:2013-05-18

10:55:38 修改代码,添加判断语句&& (j==k-2),避免.vhd等文件也被列出来

版本号:V1.1.0

更新日期:2013-02-10

14:49:55 已添加对文件名进行判断,能够自动的将当前路径下的所有文件及子文件夹下的.v文件列举出来。

全文完。


*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

摄像头相关文章:摄像头原理


关键词: Verilog 文件列表

相关推荐

技术专区

关闭