首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> .verilog.hdl.

.verilog.hdl. 文章 进入.verilog.hdl.技术社区

基于Verilog HDL的I2C总线分析器

  • 提出了采用VerilogHDL设计I2C总线分析器的方法,该I2C总线分析器支持三种不同的工作模式:被动、主机和从...
  • 关键字: Verilog  HDL  I2C总线分析器  

Verilog HDL阻塞属性探究及其应用

  • Verilog HDL中,有两种过程赋值方式,即阻塞赋值(blocking)和非阻塞赋值(nonblocking)。阻塞赋值执行时,RHS(right hand statement)估值与更新LHS(left hand statement)值一次执行完成,计算完毕,立即更新。在执行时
  • 关键字: Verilog  HDL  阻塞属性    

基于Verilog硬件描述语言的AES密码算法实现

  •   0 引言  密码模块是安全保密系统的重要组成部分,其核心任务就是加/解密数据。目前,分组密码算法AES以 ...
  • 关键字: Verilog  AES密码算法  

基于Verilog HDL滤波器的设计

  •  现代计算机和通信系统中广泛采用数字信号处理的技术和方法,其基本思路是先把信号用一系列的数字来表示,然后对这些数字信号进行各种快速的数学运算。其目的是多种多样的,有的是为了加密,有的是为了去掉噪声等无
  • 关键字: 设计  滤波器  HDL  Verilog  基于  

基于Verilog简易UART的FPGA/CPLD实现

  • 基于Verilog简易UART的FPGA/CPLD实现,目标:在xo640上实现一个简单的Uart,能够解析串口数据,并在寄存器中存储,用FIFO实现数据的传递。那么后期可以通过开发板上的串口经CPLD访问各种数据。比如PC=CPLD=EEPROM等等,极大方便后期的开发和调试。
  • 关键字: FPGA/CPLD  实现  UART  简易  Verilog  基于  

博客园正式支持Verilog语法着色功能

  • 博客园正式支持Verilog语法着色功能,以前在贴Verilog代码时,都只能挑C++或者C#的语法着色,但两者的主题词毕竟不太一样,透过dudu的帮助,我将Verilog 2001年的主题词加上了,现在博客园也能漂亮的显示Verilog代码了!!介绍
    以下是个典型的Verilog代码
  • 关键字: 着色  功能  语法  Verilog  正式  支持  博客  

智能卡控制器IP核的设计与实现

  • 摘要:本文介绍了一款兼容ISO7816-3协议的智能卡控制器IP核。该IP核能实现对智能卡的探测、电源管理、复位和...
  • 关键字: ASIC  ISO7816  智能卡  IP核  Verilog  语言  

ST-BUS总线接口模块的Verilog HDL设计

  • ST-BUS总线接口模块的Verilog HDL设计,ST-BUS是广泛应用于E1通信设备内部的一种模块间通信总线。结合某专用通信系统E1接口转换板的设计,本文对ST-BUS总线进行了介绍,讨论了ST-BUS总线接口收发模块的设计方法,给出了Verilog HDL实现和模块的时序仿真图。
  • 关键字: HDL  设计  Verilog  模块  总线  接口  ST-BUS  

赛灵思Verilog(FPGA/CPLD)设计小技巧

  • 以下是一个在设计中常犯的错误列表这些错误常使得你的设计不可靠或速度较慢为了提高你的设计性能和提高速度...
  • 关键字: FPGA  赛灵思  Verilog  CPLD  

verilog中阻塞赋值和非阻塞赋值

  • verilog中阻塞赋值和非阻塞赋值,阻塞和非阻塞语句作为verilog HDL语言的最大难点之一,一直困扰着FPGA设计者,即使是一个颇富经验的设计工程师,也很容易在这个点上犯下一些不必要的错误。阻塞和非阻塞可以说是血脉相连,但是又有着本质的差别。理解
  • 关键字: 阻塞  verilog  

PLD/FPGA硬件语言设计verilog HDL

  • PLD/FPGA硬件语言设计verilog HDL,HDL概述  随着EDA技术的发展,使用硬件语言设计PLD/FPGA成为一种趋势。目前最主要的硬件描述语言是VHDL和verilog HDL及System Verilog。 VHDL发展的较早,语法严格;而Verilog HDL是在C语言的基础上发展起来的一种硬
  • 关键字: verilog  HDL  设计  语言  硬件  PLD/FPGA  

Verilog HDL与VHDL及FPGA的比较分析

  • Verilog HDL与VHDL及FPGA的比较分析, Verilog HDL  优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。  缺点:很多错误在编译的时候不能被发现。  VHDL  优点:语法严谨,层次结构清晰。  缺点:熟悉时间长,不够灵
  • 关键字: 比较  分析  FPGA  VHDL  HDL  Verilog  

基于FPGA和硬件描述语言Verilog的液晶显示控制器的设计

  • 基于FPGA和硬件描述语言Verilog的液晶显示控制器的设计,本设计是一种基于FPGA(现场可编程门阵列)的液晶显示控制器。与集成电路控制器相比,FPGA更加灵活,可以针对小同的液晶显示模块更改时序信号和显示数据。FPGA的集成度、复杂度和面积优势使得其日益成为一种颇具吸引力
  • 关键字: 液晶显示  控制器  设计  Verilog  语言  FPGA  硬件  描述  基于  

蓝牙HCI-UART主控制接口的FPGA设计与实现

  • 摘要:蓝牙技术作为一种短距离的无线通信技术,具有巨大的发展潜力,本文意从HCI层进行蓝牙技术的应用开发...
  • 关键字: 蓝牙  HCI-UART  FPGA  Verilog  通信  
共200条 11/14 |‹ « 5 6 7 8 9 10 11 12 13 14 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473