新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 基于FPGA的FIR数字滤波器设计与仿真

基于FPGA的FIR数字滤波器设计与仿真

作者:时间:2015-01-06来源:网络收藏

  实现数字化是控制系统的重要发展方向,而数字信号处理已在通信、语音、图像、自动控制、雷达、军事、航空航天等领域广泛应用。数字信号处理方法通常涉及变换、滤波、频谱分析、编码解码等处理。数字滤波是重要环节,它能满足滤波器对幅度和相位特性的严格要求,克服模拟滤波器所无法解决的电压和温度漂移以及噪声等问题。而有限冲激响应滤波器在设计任意幅频特性的同时能够保证严格的线性相位特性。利用可以重复配置高精度的滤波器,使用VHDL硬件描述语言改变滤波器的系数和阶数,并能实现大量的卷积运算算法。结合MATLAB工具软件的辅助设计,使得滤波器具有快速、灵活、适用性强,硬件资源耗费少等特点。

本文引用地址:http://www.eepw.com.cn/article/267750.htm

  2 基本原理

  分布式算法(Distributed Arithmetic,简称DA)是一项重要的技术,广泛应用在计算乘积和之中。该算法基本原理如下:

  一线性时不变网络输出:

  

 

  设系数c[n]是已知常数,x[n]是变量,在有符号DA系统中假设变量x[n]的表达式为:

  

 

  式中xb[n]为x[n]的第b位,而x[n]也就是x的第n次采样。于是,内积y可以表示为:

  

 

  分布式算法是一种以实现乘加运算为目的的运算方法。它与传统算法实现乘加运算的不同在于执行部分积运算的先后顺序。该算法利用一个查找表(LUT)实现映射,即用一个2N字宽、预先编好程序的LUT接收一个N位输入向量xb=[xb[0]],xb[1],…,xb[N-1]]的映射,经查找表的查找后直接输出部分积。与传统算法相比,分布式算法可极大的减少硬件电路的规模,提高电路的执行速度。

  3 FIR滤波器的设计与实现

  3.1 FIR滤波器系数的提取

  线性相位FIR滤波器通常采用窗函数法设计。这里采用MATLAB窗函数进行设计。窗函数设计的基本思想是要选取某一合适的理想频率选择性滤波器,然后将其脉冲响应截断获得一个线性相位和因果的FIR滤波器。根据给定的滤波器技术指标,选用凯泽(Kaiser)窗设计,其幅频特性和相频特性如图1所示。

  

 

  由于从MATLAB算出的系数h(n)的值是一组浮点数,而器件只是定点数计算,所以要将浮点数转换为定点数。为了获得最佳滤波器系数,转换时需对其进行处理,转换后系

  

 

  

 

  3.2 FPGA实现FIR滤波器

  FPGA采用FLEXlOK系列中的EPF10K10 2C84—3器件。EDA 工具使用QuartusⅡ5.1。使用FIR滤波器描述编程,从而实现FIR滤波器的顶层原理图,如图2所示。

  

滤波器相关文章:滤波器原理


fpga相关文章:fpga是什么


滤波器相关文章:滤波器原理


电源滤波器相关文章:电源滤波器原理


数字滤波器相关文章:数字滤波器原理

上一页 1 2 下一页

关键词: FPGA FIR 数字滤波器

评论


相关推荐

技术专区

关闭