新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > FPGA设计开发软件Quartus II的使用技巧之:Quartus II软件基础介绍

FPGA设计开发软件Quartus II的使用技巧之:Quartus II软件基础介绍

作者:时间:2017-06-05来源:网络收藏

5.1软件简介

设计软件是Altera提供的完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC)提供全面的设计环境。软件含有和CPLD设计所有阶段的解决方案。

本文引用地址:http://www.eepw.com.cn/article/201706/348826.htm

QuartusII是Altera公司新一代的EDA设计工具,由该公司早先的MAX+PLUSII演变而来。不仅继承了MAX+PLUSII工具的优点,更提供了对新器件和新技术的支持,使设计者能够能轻松和全面地介入设计的每一个环节。本章将对这个软件进行全面的介绍。

5.2QuartusII软件新特性

可以按照个人偏好,自定义QuartusII软件的布局、菜单、命令和图标。当第一次打开QuartusII软件时,可以在标准QuartusII用户界面和MAX+PLUSII界面之间选择外观和操作习惯,或者稍候使用“Customize”对话框(Tools菜单)选择外观和操作习惯。

“Customize”对话框也允许设置是否显示QuartusII或者MAX+PLUSII快捷菜单,是设置在菜单栏的左边还是右边。QuartusII快捷菜单包含的菜单命令用于每个QuartusII应用程序,是常用处理命令。如图5.1所示为“Customize”对话框。

MAX+PLUSII快捷菜单与MAX+PLUSII软件的MAX+PLUSII菜单类似,提供用于应用程序的命令,也是常用的MAX+PLUSII菜单命令。MAX+PLUSII菜单上的命令与相应的QuartusII命令功能相同。如图5.2所示为QuartusII和MAX+PLUSII快捷菜单。

图5.1Customize对话框

图5.2QuartusII和MAX+PLUSII快捷菜单

以下步骤描述了使用QuartusII基本设计流程。

(1)使用NewProjectWizard(“File”菜单)建立新工程并指定目标器件或器件系列。

(2)使用TextEditor建立VerilogHDL、VHDL或Altera硬件描述语言(AHDL)设计。根据需要,使用BlockEditor建立表示其他设计文件的符号框图,也可以建立原理图。还可以使用MegaWizard®Plug-InManager(“Tools”菜单)生成宏功能模块和IP功能的自定义变量,在设计中将它们例化。

(3)使用AssignmentEditor、PinPlanner、Settings对话框(“Assignments”菜单)、FloorplanEditor、“DesignPartitions”窗口、“LogicLock”功能指定初始设计约束。

(4)进行EarlyTimingEstimate,在完成Fitter之前生成时序结果的早期估算。

(5)使用SOPCBuilder或DSPBuilder建立系统级设计。

(6)使用SoftwareBuilder为Excalibur™器件处理器或Nios®嵌入式处理器建立软件和编程文件。

(7)使用AnalysisSynthesis对设计进行综合。

(8)如果设计含有分区,而没有进行完整编译,则需要采用PartitionMerge合并分区。

(9)通过使用Simulator和GenerateFunctionalSimulationNetlist命令在设计中执行功能仿真。

(10)使用Fitter对设计进行布局布线。

(11)使用PowerPlayPowerAnalyzer进行功耗估算和分析。

(12)使用TimingAnalyzer对设计进行时序分析。

(13)使用Simulator对设计进行时序仿真。

(14)使用物理综合、TimingClosure平面布局图、LogicLock功能、“Settings”对话框和AssignmentEditor改进时序,达到时序逼近。

(15)使用Assembler为设计建立编程文件。

(16)使用编程文件、Programmer和Altera硬件对器件进行编程,或将编程文件转换为其他文件格式以供嵌入式处理器等其他系统使用。

(17)使用SignalTap®IILogicAnalyzer、SignalProbe功能或ChipEditor对设计进行调试。

(18)使用ChipEditor、ResourcePropertyEditor和ChangeManager管理工程更改。

5.3QuartusII软件的安装与启动

使用PC机安装QuartusII的系统配置要求如下。

·CPU:奔腾II400MHz以上。

·内存:512MB以上。

·大于800MB的安装空间。

·Windows2000或WindowsXP操作系统。

·MicrosoftWindows兼容的SVGA显卡。

·具有并行通信口或USB通信口,以便用下载电缆。

QuartusII软件安装步骤如下。

(1)运行安装向导:Install.exe。

(2)单击“InstallQuartusIIandRelatedSoftware”按钮,进入欢迎界面,单击“Next”按钮进入安装QuartusII软件的安装向导界面。在这个安装向导界面中,选中QuartusII,其他项目不选,单击“Next”按钮,进入下一步。

(3)在“LicenseAgreement”对话框上选中“Iacceptthetermsofthelicenseagreement”选项,单击“Next”按钮,进入下一步。

(4)在“Custominformation”对话框上,输入用户信息“UserName:xxx,CompanyName:xxx”,单击“Next”按钮,进入下一步。

(5)在“ChooseDestinationLocation”对话框上选择安装路径,也可使用默认路径。确保硬盘上有足够的空间,单击“Next”按钮进入下一步。

(6)在SetupType对话框上选中“Complete”选项,单击“Next”按钮,进入下一步。

(7)确认安装设置,单击“Next”按钮,安装向导开始复相关文件。

(8)在“QuartusIITalkback”对话框上,单击“确定”按钮继续安装。

(9)在“InstallshieldWizardComplete”对话框上,去掉“LaunchQuartusII6.0”选项,单击“Finish”按钮,完成安装。

(10)回到安装向导主界面,单击“InstallFLElmServer”按钮,启动FLElmServer的安装向导。在“ChooseDestinationLocation”对话框上,单击“Next”按钮,安装到默认路径。

(11)文档复制完毕,单击“Finish”按钮,完成安装。

(12)回到安装向导主界面,单击“Exit”按钮,退出安装向导。

(13)根据license的安装说明安装license。

(14)至此,QuartusII6.0已经可以使用了。

5.4QuartusII软件设计流程

利用QuartusII进行设计的流程如图5.3所示。

这是一个完整的设计流程,在实际的设计过程中,其中的一些步骤可以进行简化。简化的QuartusII设计过程如图5.4所示。

图5.3QuartusII设计流程 图5.4简化的QuartusII设计过程



关键词: QuartusII Max+PlusII FPGA

评论


相关推荐

技术专区

关闭