新闻中心

EEPW首页 > 测试测量 > 设计应用 > 基于FPGA的扫频信号源的研究与设计

基于FPGA的扫频信号源的研究与设计

作者:时间:2010-02-03来源:网络收藏

扫频技术是电子测量中的一种重要技术,广泛用于调频放大器、宽频带放大器、各种滤波器、鉴相器以及其他有源或无源网络的频率特性的测量。是整个测量系统设计的关键环节之一,随着被测量的频率和精度要求的不断提高,由传统的晶体振荡器设计的已不能满足要求。因此,近年来出现一种直接数字频率合成技术(DDS),它采用数字电路合成所需波形,具有精度高、产生信号信噪性能好、频率分辨率高、转换速度快等优点。本文设计的是基于DDS技术,并在Altera公司的EP2C20上实现逻辑综合、布局布线、时序仿真及功能验证。DDS电路、扫频信号的控制及显示电路均集成在中实现了片内集成,不仅减小了电路尺寸,而且还增强了抗干扰性,使可靠性得到了进一步的提高。该扫频信号源克服了传统扫频信号源电路复杂、价格昂贵、体积庞大等缺点,具有扫频和点频两种频率输出方式及测频、扫速控制等功能。

本文引用地址:http://www.eepw.com.cn/article/195533.htm

1 扫频技术的原理

将正弦信号加入线性时不变系统,其稳态响应是与输入信号相同频率的正弦量,但它的幅值和相位则决定于具体系统的动态特性。为此,就需要分析在正弦信号作用下,一定频率范围内系统的输出量和输入量的幅值比和相位的变化规律,即系统的频率特性。一个系统输出量与输入量之比称为频率响应函数。即:

其中,频率响应的模A(ω)=OH(ω)O是表征输出与输入的幅度之比,称为系统的幅频特性。频率响应的相位φ(ω)= ∠H(ω)是表征输出与输入的相位之差,称为系统的相频特性。

为了测量系统的频率响应,可以对系统采用逐点扫描的方法,也可以采用扫频的方法。采用扫频的方法通常需要利用扫频信号发生器产生一定频率范围的扫频信号,并将这一信号加到被测系统的输入端。同时,测出该系统对应的扫频输出。则测出的输出信号与对应的输入信号幅度之比就是系统的幅频特性。输出信号与对应的输入信号的相位之差就是系统的相频特性。

2基于DDS技术扫频信号源的设计与实现

2.1 DDS扫频信号源系统组成原理

扫频信号源是扫频仪的重要组成部分,用于产生测试的正弦扫频信号。其输出的扫频信号应是等幅的,扫频范围应是可调的,扫频规律可以是线性扫频或对数扫频。本系统以为平台,运用DDS技术实现扫频信号源。与传统的频率合成器相比,DDS是实现电子设备全数字化的一个关键技术。DDS中主要包括频率控制寄存器、相位累加器和正弦计算器3部分。其中,频率控制寄存器用来装载并寄存用户输入的频率控制字。相位累加器则根据频率控制字在每个时钟周期内进行相位累加,得到正弦波的相位值;正弦计算器则计算数字化正弦波的幅度。DDS输出的一般是数字化的正弦波,因此还需经过D/A转换器和低通滤波器才能得到一个可用的模拟信号。

本文设计的以为实现平台的DDS扫频信号源原理图如图1所示,DDS所产生的是固定频率的信号,其频率控制字FSW与输出信号,fout和参考频率的fc之间的关系为:

扫频信号源只需在DDS原理图的基础上增加频率累加器,每来一个时钟脉冲,频率累加器根据起始频率和频率增量产生瞬时频率,然后经过相位累加器运算输出扫频信号的瞬时相位,以此相位值寻址正弦值存储表,通过查表得到与相位值对应的幅度量化值;在下个周期来临时,频率累加器一方面将在上一个时钟周期作用后所产生的新的频率数据反馈到频率加法器的输入端,以使频率加法器继续累加,频率累加的瞬时值与上一个周期相位累加器反馈到相位加法器输入端的数据累加,然后再依此周期累加的相位值重新寻址正弦值存储表,得到对应的幅度量化值。

扫频信号源频率分辨率fstep直接取决于DDS的频率最小分辨率△fmin计算公式如下:

电机保护器相关文章:电机保护器原理

上一页 1 2 3 下一页

关键词: FPGA 扫频信号源

评论


相关推荐

技术专区

关闭