新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > MCS-51单片机与FPGA接口的逻辑设计

MCS-51单片机与FPGA接口的逻辑设计

作者:时间:2009-12-11来源:网络收藏


0 引言
是一种新兴的可编程器件,可以取代现有的全部微机芯片,实现微机系统中的存储器、地址译码等多种功能,具有更高的密度、更快的工作速度和更大的编程灵活性,被广泛应用于各种电子类产品中。在功能上,具有性价比高、功能灵活、易于人机对话、强大的数据处理能力等特点;而则具有高速、高可靠性以及开发便捷、规范等特点,因此两类器件相结合的电路结构将在许多高性能仪器仪表和电子产品中被广泛应用。基于这种需求,本文的总线电路,实现了与FPGA数据与控制信息的可靠通信,使FP―GA与单片机优势互补,组成灵活的、软硬件都可现场编程的控制系统。

本文引用地址:http://www.eepw.com.cn/article/173527.htm


1 单片机与FPGA的方式
单片机与FPGA的接口方式一般有两种,即总线方式与独立方式。单片机具有很强的外部总线扩展能力,利用片外三总线结构很容易实现单片机与FPGA的总线接口,而且单片机以总线方式与FPGA进行数据与控制信息通信也有许多优点:速度快;节省PLD芯片的I/O口线;相对于非总线方式,单片机编程简捷,控制可靠;在FPGA中通过切换,单片机易于与SRAM或ROM接口。
单片机与FPGA以总线方式通信的逻辑,重要的是要详细了解单片机的总线读写时序,根据时序图来逻辑结构,其通信的时序必须遵循单片机内固定的总线方式读/写时序。FPGA的逻辑设计也相对比较复杂,在程序设计上必须与接口的单片机程序相结合,严格安排单片机能访问的I/O空间。单片机以总线方式与FPGA进行数据通信与控制时,其通信工作时序是纯硬件行为,速度要比前一种方式快得多,另外若在FPGA内部设置足够的译码输出,单片机就可以仅通过19根I/O线在FPGA与单片机之间进行通信和控制信息交换,这样可以节省FPGA芯片的I/O线。其原理图如图1所示。

2 总线接口逻辑设计
2.1 接口设计思想
单片机与CPLD/FPC,A以总线方式通信的逻辑设计,重要的是要详细了解单片机的总线读写时序,根据时序图来设计逻辑结构。系列单片机的时序图如图2所示。

ALE为地址锁存使能信号,可利用其下降沿将低8位地址锁存于FPGA中的地址锁存器(LATCH_ADDRES)中;当ALE将低8位地址通过P0锁存的同时,高8位地址已稳定建立于P2口,单片机利用读指令允许信号PSEN的低电平从外部ROM中将指令从P0口读入,由时序图可见,其指令读入的时机是在PSEN的上升沿之前。接下来,由P2口和P0口分别输出高8位和低8位数据地址,并由ALE的下降沿将P0口的低8位地址锁存于地址锁存器。若需从FPGA中读出数据,单片机则通过指令“MOVXA,@DPTR”使RD信号为低电平,由P0口将锁存器中的数据读入累加器A;但若欲将累加器A的数据写进FPGA,则需通过指令“MOVx DPTR,A”和写允许信号WR。这时,DPTR中的高8位和低8位数据作为高、低8位地址分别向P2和P0口输出,然后由WR的低电平并结合译码,将累加器A的数据写入图中相关的锁存器。


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭