新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 工程师分析实例,带你走近Xilinx FPGA设计

工程师分析实例,带你走近Xilinx FPGA设计

作者:时间:2012-07-14来源:网络收藏

一.概述

本文引用地址:http://www.eepw.com.cn/article/148768.htm

  本文主要帮助大家熟悉利用ISE进行 公司 代码开发的基本流程。主要是帮助初学者了解和初步掌握 ISE 的使用,不需要 的开发基础,所以对每个步骤并不进行深入的讨论。

  本文介绍的内容从新建 project 一直到下载到硬件观察现象为止,涵盖整个开发过程。考虑到我们的开发一般以 Verilog HDL 或 VHDL 为主,在本文中未介绍原理图输入工具和 IP 核使用工具等。这同时是出于为了使文章脉络更清晰,让大家更快地学会 ISE 的考虑。关于这些专用工具,可以参看 HELP 或者其他文档。

  为了更直观的理解,我们需要一个实验平台,在本文中,采用的是 Digital Power Studio 工作组 SPARK1.1 综合开发平台的 标准型开发系统。如图 1 所示(图中为 Altera 子板,只需改成 的即可)。在该开发系统中,所采用的芯片是SPARTANII系列的XC2S200 。

  
图1 FPGA SPARK1.1 外观图

  二.实现功能

  在如上系统中右边有一个 128×64 带背光的点阵型液晶。我们的实验就是用Verilog HDL 编写一段代码驱动液晶显示一个流氓兔。其效果如图 2 所示:

  
图2 点阵液晶上显示流氓兔的效果图

  三.软件准备

  本文介绍的是 Xilinx 公司的 ISE 开发环境。现在最新的版本是 ISE7.1,其界与 ISE5.x/ISE6.x 一致。为了更好地进行仿真,还需要安装 Modsim。但是 ISE本身可以进行仿真,也可以不安装 Modsim。流程介绍:

  1.新建项目

  在开始—〉程序—〉Xilinx ISE 中找到 Project Navigator,点击打开。也可以通过双击桌面上 Project Navigator 的快捷方式打开。ISE 开发环境如图 3 所示:

  
图3 ISE 开发环境

  图3 中选择 File—〉New Project,进入图 4;

  
图4 新建 project 界面

  在 Project Name 栏输入项目名称,Project 中填入该项目的目录,Project Device Options 中,Device Family 为所用芯片所在系列,Device 为所用芯片,Package为所用芯片的封装形式(每个芯片可能有不同封装的几种,如 XC2S200 有 3 种封装的),Speed Grade 为速度等级,Design Flow 为所选用综合方式(综合工具

  和代码语言)。由于采用的是 FPGA SPARK1.1,采用如图 5 的设置。

  
图5 新建项目的信息输入

  其中 XST Verilog 表示采用 ISE 自带的综合器,代码语言为 Verilog HDL,ISE支持其他综合工具的调用,也支持标准 edif 文件的输入。XST 是 ISE 自带的综合工具,由于 Xilinx 对自身的硬件了解程度比任何第三方软件开发商都更深,故XST 的综合性能有自身独特的优点。

  点击 ok,新建项目完成。可以在相应目录下看到生成的文件。效果如图 6。如果要更改硬件的设置,可以双击图 6 中模块视窗内蓝色选中的所示对应选单,即可进入 project properties 界面重新进行设置。

  
图6 效果图


上一页 1 2 3 4 下一页

评论


相关推荐

技术专区

关闭