博客专栏

EEPW首页 > 博客 > (2020.11.23)半导体一周要闻

(2020.11.23)半导体一周要闻

发布人:qiushiyuan 时间:2020-11-24 来源:工程师 发布文章

半导体一周要闻

2020.11.16- 2020.11.20

  • 长江存储CEO杨士宁:长江存储3年走过国际厂商6年的路!

长江存储于2018年8月正式推出自家的独门绝技Xtacking®架构。首款采用Xtacking®架构,密度与业界96层看齐,具有一定成本优势的64层堆栈式闪存则是长江存储真正意义上面向市场推出的“处女作”,自2019年第三季度起实现规模量产。


传统3D NAND架构中,外围电路约占芯片面积的20~30%,降低了芯片的存储密度。随着3D NAND技术堆叠到128层甚至更高,外围电路可能会占到芯片整体面积的50%以上。Xtacking®技术将外围电路置于存储单元之上,从而实现比传统3D NAND更高的存储密度。  


当两片晶圆各自完工后,创新的Xtacking®技术只需一个处理步骤就可通过数十亿根金属VIA(Vertical Interconnect Accesses,垂直互联通道)将两片晶圆键合接通电路,而且只增加了有限的成本。


杨士宁介绍,Xtacking主要具有四方面优势:一是速度快,具有更好的性能表现;二是工艺更结实;三是成本可控,因为密度高;四是具有更高的灵活性。与国际存储大厂相比,长江存储表示,公司用短短3年时间实现了从32层到64层再到128层的跨越。长江存储3年完成了他们6年走过的路,杨士宁直言,长江存储不做最后一名,争取公司的下一代产品能够达到行业前沿。


  • 2020年全球晶圆代工产值年增或创近十年新高

TrendForce集邦咨询旗下半导体研究处表示,2020年疫情导致众多产业受到冲击,然受惠于远距办公与教学的新生活常态,加上5G智能手机渗透率提升,以及相关基础建设需求强劲的带动,使全球半导体产业逆势上扬,预估2020年全球晶圆代工产值年成长将高达23.8%,突破近十年高峰。

  

观察目前最先进的5nm制程,台积电在华为旗下海思遭美禁令限制后,2020年初才量产的5nm制程仅剩苹果为唯一客户,即便苹果积极导入自研Mac CPU及应用于服务器的FPGA加速卡,其总投片量仍难以完全弥补海思空缺的产能,导致5nm稼动率在今年下半年落在约85~90%。


TrendForce集邦咨询认为,台积电5nm需求在2022年将相对稳定及强劲,且3nm制程亦将于2022年下半年量产,可望进一步推升其市占。


  • 集创北方张晋芳:显示驱动芯片将在拉动国产供应链条中扮演重要角色

显示专用芯片仍受制于人。中国大陆显示面板企业每年高端显示芯片的采购金额超过300亿元,95%来自美国、韩国、中国台湾企业。张晋芳指出,驱动芯片本土化率非常低,其中电视主控SoC芯片国产化率不超过10%,T-CON、驱动IC、电源管理芯片等显示专用芯片国产化率不到5%。


根据超高清新型显示专用芯片各细分领域的市场规模测算,中国显示专用芯片进口替代需求旺盛,折合12英寸产能为22万片/月,但是目前显示芯片产能主要集中在海外代工厂。面对巨大的市场机遇,国内代工厂正在积极布局。张晋芳透露,中芯国际、晶合正在加速推动显示驱动芯片产业链条发展,中芯国际目前产能应用于显示芯片占比较少,未来产能会逐步加大。


  • 芯恩大股东变更!青岛兴橙集电退出新增股东为青岛澳柯玛控股集团全资子公司

企查查显示,近日,芯恩(青岛)集成电路有限公司(简称“芯恩”)发生股东变更,“青岛兴橙集电股权投资合伙企业(有限合伙)”(简称“青岛兴橙集电”)退出,新增“青岛澳柯玛云联信息技术有限公司”,其中青岛澳柯玛云联信息技术有限公司持股比例达57.10%。


  • 13亿元私募债违约后续:紫光系24点5亿美元债被停,母公司回应

紫光集团本该于11月16日前清偿“17紫光PPN005”13亿元债务至今未能兑付引发市场关注 ,还拖累“紫光系”24.5亿美元债券被暂停交易。对此,母公司清华控股回应。


针对此事,清华控股有限公司周三晚间发布公告称,控股子公司紫光集团有限公司发行的“17紫光PPN005”未能按期偿付本息,已构成实质性违约;对于需要披露的特殊条款执行情况,则尚在确认中。


  • 传东芝拟出售两座8英寸晶圆厂给联电

MoneyDJ援引日刊工业新闻消息报道,多名知情人士透露,东芝已与联电展开协商,计划出售两座晶圆厂给联电,双方最快在2021年3月底前达成协议。


  • 中微公司7月2日至11月17日累计获得政府补助4356.48万元

中微半导体设备(上海)股份有限公司(以下简称“中微公司”)今日发布公告称,公司自2020年7月2日至2020年11月17日,累计获得政府补助款项共计人民币4356.48万元,均与收益相关。


  • 中芯国际发力封装

知情人士向日经新闻透露,台积电计划在其正在台湾苗栗市兴建的芯片封装厂使用其新型3D堆叠技术。消息人士称,谷歌和AMD将成为其首批SoIC芯片的客户,并将帮助台积电对这些芯片进行测试和认证。该工厂的建设计划明年完工,将于2022年开始大规模生产。


另据多名消息人士透露,中芯国际也在考虑建立类似的先进芯片封装能力,并已向台积电的一些供应商订购设备,以运营一条小规模的先进封装生产线。


  • 华为笑了,美国传来大消息,全世界都沸腾了!

刚刚突然传来大消息!已有5家芯片厂商获得许可,继续供货华为,他们分别是索尼、豪威科技,以及AMD、英特尔和台积电。同时,高通、联发科、SK海力士、美光等厂商正在积极的申请许可。


原因有二:一是怕倒逼华为真的搞出芯片,把这个上万亿的市场砸手上了;二是中国市场需求巨大,如果彻底断供华为,对整个产业链特别是美国企业,会造成巨大冲击。


  •  TrendForce:台积电2021年底将囊括近六成先进制程市占

展望2021年,除苹果持续以5nm+生产A15 Bionic外,AMD 5nm Zen 4架构产品也将开始小量试产,支撑5nm稼动率维持在85~90%。


值得一提的是,2021年底至2022年,包括联发科、英伟达及高通都已有5/4nm产品量产计划,加上AMD Zen4架构的放量,以及英特尔CPU委外生产预估将于2022年首先采用5nm制程,庞大的需求量已促使台积电着手进行5nm扩产计划。且根据目前观察,苹果在2022年持续采用4nm(为5nm微缩制程)生产A16处理器的可能性相当高,届时不排除台积电将进一步把5nm产能再扩大,以支持客户强劲的需求。


  • 吴汉明:摩尔定律趋缓给追赶者机会,必须树立产业技术导向的科技文化,“中国芯”产业注定艰难

吴汉明指出,当前我国集成电路产业技术创新面临两大壁垒:一是战略性壁垒,二是产业性壁垒。从巴黎统筹委员会到瓦森纳协议,都在警示我国必须拥有相对可控的产业链,特别是对工艺、装备材料、设计IP核与EDA三大卡脖子制造环节进行重点突破,这就是中国“芯”面临的战略性壁垒。而集成电路产业链长、涉及领域宽的特点给中国集成电路突破政治壁垒带来极大挑战。产业壁垒方面,我国集成电路基础研究薄弱、产业技术储备匮乏,而世界龙头企业早期布置的知识产权给后来者的突围造成障碍。


他总结说:“‘中国芯’产业注定艰难,尤其芯片制造工艺,挑战极为严峻。”从芯片制造角度来看,硅单晶、制造工艺和封装三大环节中,80%的装备投入都在制造工艺环节,正是因为投资强度太大,投资回报慢,所以制造工艺是我国集成电路产业发展中最大的瓶颈。而芯片制造主要面临三大挑战:图形转移、新材料与工艺、良率提升。


 

  • 三星计划在2年内追上台积电,2022年将量产3nm工艺

在半导体晶圆代工上,台积电一家独大,从10nm之后开始遥遥领先,然而三星的追赶一刻也没放松,今年三星也量产了5nmEUV工艺。三星计划在2年内追上台积电,2022年将量产3nm工艺。


从2019年开始,三星启动了一个“半导体2030计划”,希望在2030年之前投资133万亿韩元,约合1160亿美元称为全球最大的半导体公司,其中先进逻辑工艺是重点之一,目标就是要追赶上台积电。


最新消息称,三星半导体业务部门的高管日前透露说,三星计划在2022年量产3nm工艺,而台积电的计划是2022年下半年量产3nm工艺,如此一来三星两年后就要赶超台积电了。


但三星追赶台积电的关键是在下一代的3nm上,因为这一代工艺上三星押注了GAA环绕栅极晶体管,是全球第一家导入GAA工艺以取代FinFET工艺的,而台积电比较保守,3nm还是用FinFET,2nm上才会使用GAA工艺。


  • 联合中科院微电子所、电子科大等单位,成都打造集成电路工艺研发创新中心

此次共建的成都集成电路工艺研发创新中心,将依托成都高真科技有限公司建立集成电路工艺试验线,联合中科院微电子所、电子科大等国内优势单位,围绕产线工艺提升、国产设备验证、核心器件研究及代工服务、专业人才培育进行合作创新。


  • 5纳米光刻机或将抛弃,石墨烯芯片迎来突破性能是硅基芯片10倍

咱们专家还真就研制出了一条新的芯片制造之路,一旦成功可以完美的突破目前芯片制造的壁垒。那就是中科院专家团队成功研制出了石墨烯芯片,并且即将问世。


石墨烯芯片被很多人直接将它称为碳基芯片,其主要组成成分是石墨烯碳纳米管,目前市面上并没有出现类似的技术,利用这种全新的材料来制造芯片,我们才能够实现弯道超车,而目前我们在石墨烯芯片研发上,也取得了突破性的进展。而它最大的一个特点就是它的制造过程当中不需要光刻工艺。


而如果采用新的碳基芯片的话,就完全不一样了,将顺利突破硅基芯片的天花板。就算是在同等的制程工艺上,碳基芯片的整体性能,也会比硅基芯片的性能提升十倍以上,碳基芯片的优势是显而易见的。


  • 格科微科创板IPO成功过会!

格科微成立于 2003 年,主要从事 CMOS 图像传感器的研发、设计和销售。2012 年,公司实现了显示驱动芯片的量产,进一步拓展了产品线,提升了公司的盈利能力。未来,格科微拟通过自建部分 12 英寸 BSI 晶圆后道产线、12 英寸晶圆制造中试线、部分 OCF 制造及背磨切割等产线的方式,逐步由 Fabless 模式向 Fab-Lite 模式转变。


11月6日,据上交所发布科创板上市委2020年第98次审议会议结果显示,格科微有限公司IPO首发过会。 


  • 密谋五年台积电扳倒英特尔的三大绝招

关键1:独家IP 拿下AMD订单的决胜武器之一

业界人士观察,AMD和台积电合作,今年下半年会端出杀手级的产品,「没有意外的话,今年下半年AMD会推出第一颗3D封装IC」。过去,英特尔在伺服器市场的市占率超过95%,但现在已有人预测,未来AMD和英特尔在伺服器市场的市占率,将达到3:7。


关键2:先进封装技术业界人士:效能提升「会非常恐怖!

台积电与弘塑等设备商合作开发3D封装用的新材料,在芯片上层再叠一层芯片,中间用特制的纳米双晶铜材料贯穿。「再过3年,台积电就有能力把PC主机板上的芯片,Type C、HDMI相关的芯片都放进来。」他分析,一旦解决散热的问题,未来一块芯片,就能完成现在一台PC才能做的工作。


关键3:手机处理器具直接挑战PC处理器能力


  

  • 赛微电子坚持MEMS纯代工模式

2020年是赛微电子(300456)战略调整关键年份,剥离航空电子业务(青州耐威),在原有导航业务基础上,逐步聚焦半导体业务,涵盖MEMS晶圆制造和GaN材料与器件领域。


根据公司三季报,受益于下游生物医疗、工业及科学、通讯、消费电子等应用市场的高景气度,公司MEMS业务营收4.83亿元,同比增长25.54%。


赛微电子MEMS业务收入占整体业务已经超过90%。


同时,赛微电子的MEMS北京产线也已经建成通线,目前正处于验收收尾和调整优化产线阶段。


MEMS良率水平:MEMS产品类型繁多、高度差异化、定制化以及工艺复杂、代工难度较高等特点决定了,MEMS代工良率一般低于传统IC代工。赛微电子目前瑞典MEMS产线的良率在70%左右,属于业内领先水平。


瑞典和北京两地产线进展:瑞典MEMS产线升级工作已经完成,投入超过3亿元,原有6英寸产线(FAB1)升级切换成8英寸产线,原有8英寸产线(FAB2)已完成扩产,合计MEMS晶圆产能提升至7000片/月的水平,产能提升超过30%;北京MEMS产线已经建成通线,正在推进验收收尾工作,并结合热线及内部验证批晶圆的制造情况,调整优化产线。会在最短时间内完成产能爬坡。


  • 华为急单加持全球Q3前十大封测厂营收增至67.59亿美元

 


  • 台积电2nm工艺重大突破,2023年投入试产

台积电已经在2nm工艺上取得一项重大的内部突破,虽未披露细节,但是据此乐观预计,2nm工艺有望在2023年下半年进行风险性试产,2024年就能步入量产阶段。


台积电还表示,2nm的突破将再次拉大与竞争对手的差距,同时延续摩尔定律,继续挺进1nm工艺的研发。


台积电预计,苹果、高通、NVIDIA、AMD等客户都有望率先采纳其2nm工艺。


 

  • 应用材料上季营收达46.9亿美元,乐观看待本季展望

公司上季营收年增25%至46.9亿美元,高于去年同期的37.5亿美元和分析师预估的46亿美元。应用材料上季调整后每股盈余为1.25美元,优于市场预估的1.17美元。


应用材料12日公布,到10月25日止的年度第4季(上季)净利为11.3亿美元,每股盈余(EPS)1.23美元,分别高于去年同期的6.98亿美元和每股盈余0.75美元。


  • 三星挑战索尼的CIS龙头位置

华为是仅次于苹果的索尼制造的传感器的第二大用户。尽管索尼在限制措施实施前的图像传感器销售额增长了约1万亿日元(合95.8亿美元),但估计华为的供应量约占总量的20%。


根据英国研究公司Omdia的数据,凭借其互补的金属氧化物半导体(CMOS)图像传感器,索尼在2019年占全球市场约53.5%的份额,其次为三星的18.1%。


索尼在竞争对手之前开发了高性能的“叠层”图像传感器,并一直主要以高价位提供产品。被视为具有优于三星技术的索尼,被苹果和华为选中为其智能手机中的高性能相机提供图像传感器。


  • 华为断臂自救荣耀正式独立

今日(11月17日),多家企业在《深圳特区报》发布联合声明,深圳市智信新信息技术有限公司已与华为投资控股有限公司签署了收购协议,完成对荣耀品牌相关业务资产的全面收购。


尽管没有披露具体的交易金额,但据相关消息显示,此次交易的价值约为 400 亿美元,约合 2633 亿人民币。


  • IC insights:先进工艺需求将持续增长

根据IC Insights发布的《 2020-2024年全球晶圆产能》报告,从2024年开始,领先(<10nm)工艺的IC产能预计将增长,并将成为整个行业每月安装容量的最大来源。


报道指出,到2020年底,10nm以下工艺的产能预计将占IC工业晶圆总产能的10%,然后预计2022年将首次超过20%,并在2024年增加至全球产能的30%。


从IC Insights的2020-2024年全球晶圆产能报告,我们还有其他发现,包括:

1、2020年,预计所有晶圆容量的48%将用于最小几何尺寸(或等效的最小几何尺寸)小于20nm的设备(而小于10nm的占比10.0%;介乎10-20nm之间的是38.4%)。此类设备包括具有等效10nm级技术的高密度DRAM和高密度3D NAND闪存,高性能微处理器,低功耗应用处理器以及基于16 / 14nm,12 / 10nm的高级ASIC / ASSP / FPGA器件,或7 / 5nm技术。


2、在低于20nm的工艺中,韩国拥有66%的产能,与其他地区或国家相比,韩国的领先优势仍然明显得多。鉴于三星和SK Hynix对高密度DRAM,闪存和三星应用处理器的重视,该国拥有最先进的专用晶圆产能就不足为奇了。


3、因为苹果公司,华为公司和高通公司持续使用台积电的先进工艺服务。这就使得中国台湾小于20nm工艺的总产能超过35%。尽管如此,28nm,45 / 40nm和65nm世代继续为台积电和联电等代工厂创造大量业务。


4、中国大陆大多数小于20nm的产能由外国公司拥有和控制,这些外国公司包括三星,SK海力士,英特尔和台积电。YMTC和中芯国际是仅有的提供小于20nm制程技术的中国公司。


 

  • 英特尔花了5年布了一个大局

5年来,英特尔所有的布局都是围绕这个目标,六大技术支柱其实就是它实现这个目标的手段--分别从处理器架构、工艺、封装(实现异构处理器)、互联、存储、软件、安全去打造一个新的平台。


 

  • 锐成芯微突破技术封锁,做国内超低功耗IP的探索者

成都锐成芯微科技股份有限公司(以下简称“锐成芯微”)是一家专注于超低功耗模拟IP、高可靠性eNVM、RF IP和高速接口IP研发以及授权业务的国家高新技术企业。截至目前,锐成芯微已与国内外20多家晶圆代工厂开展合作,产品覆盖从14/16nm到180nm的CMOS、BiCMOS、BCD、SiGe、 HV、FinFET和FD-SOI等几十个工艺制程,同时拥有国内外专利超200件,累计开发IP 500多项,服务全球300多家集成电路设计企业,产品广泛应用于物联网、智能家居、汽车电子、智慧电源、可穿戴、医疗电子、工业控制等领域。

与此同时,锐成芯微在今年与兆芯建立了IP战略伙伴关系,与华润微共同推出创新型eFlash解决方案。就在这个月,该公司还与旺玖科技合作推出面向车载系统的全新USB Auto Hub桥接芯片。


据了解,收购盛芯微让锐成芯微一举补足了射频类IP产品线,形成了集模拟IP、存储IP、射频IP和高速接口IP的一站式IP和Turnkey解决方案,为迎接物联网无线化趋势做好了充足的准备。


  • 传三星将投百亿美元在美国德州建EUV新厂

钜亨网援引韩媒报道称,为如期在2022 年量产 3 纳米芯片,三星将在美国德州奥斯汀的半导体子公司启动EUV专用系统 LSI 新厂投资计划。据悉,此项投资高达 100 亿美元,月产能约 7 万片。


  • 美SkyWater Technology在45nm用多电子束无掩膜光刻机

SkyWater Technology计划将Multibeam的多列电子束光刻(MEBL)系统部署到其新扩建的铸造制造设施中。该MEBL系统将于2021年推出,它将能够在45nm及以上的全晶圆无掩模图形。“MEBL的部署将使我们的所有客户受益,包括政府和商业。此外,这一关键能力将支持SkyWater追求独特的铸造产品,将200mm制造的灵活性和灵活性与45nm工艺技术的先进性能相结合,”SkyWater总裁兼首席执行官Thomas Sonderman说。


  • 英特尔CPU路线曝光碾压AMD和Arm就在4年后?

我们最近不仅收到了英特尔的内部客户端CPU路线图,我们还收到了内部服务器路线图,一直延伸到2024年的roadmap。在我开始分享这个路线图的细节之前,我必须澄清,因为这个路线图是以当下思路迭代的,可能会在未来改变。半导体公司,尤其是英特尔,将在必要时改变路线。但我认为这个路线图很有价值。


在这个路线图上有一些东西我从来没有看到过:竞争力。英特尔将每一个处理器与一个竞争水平联系起来,从输到赢。这份路线图不仅会告诉我们什么时候推出,而且还会告诉我们英特尔何时认为自己可以完全打压竞争对手AMD和新兴的ARM服务器公司。


英特尔在2024年计划推出DiamondRapids,同时拥有SP和AP两个版本。Diamond Rapids可能是7nm或者5nm,英特尔对Diamond Rapids非常具有信心,并相信将是他们多年来最具竞争力的产品。在这个阶段,英特尔可能认为无论是AMD还是Arm的服务器CPU供应商都无法与之竞争。


  • 三星对抗台积电的三大挑战

这是“三星1160亿美元计划挑战台积电”(SamsungTakes Another Step in $116 Billion Plan to Take on TSMC)文章的后续。两篇文章的作者都是同一个人,在彭博社韩国区工作,虽说没有半导体教育背景和经验,但一定了解三星,且能与三星高层直接取得联系,可以下一个判断,该类文章就是出自三星之口。


我认为,三星在3nm工艺上存在三个挑战: 

第一个挑战是生态,台积电使用的是一种久经考验的切实成真的技术,由EDA、IP和服务公司组成的庞大生态系统所支持。数以百计得到验证的IP将立即提供给TSMC 3nm客户,而三星必须建立一个新的GAA生态系统。这肯定没那么容易。 


第二个挑战就是信任,代工厂的信任有很多形式:相信你的IP安全可靠,相信代工厂不会与你不公平竞争,相信代工厂能提供良好的PPA(功率/性能/体积)技术。


第三个挑战就是良率,GAA是一种新的工艺技术,且三星一直被良率问题所困扰。新技术第一个吃螃蟹的人值得尊重,也是荣耀的象征,且我本人对三星的技术实力深表敬意。但我对三星引入一种新工艺并实现大批量量产的过程产生质疑,这中间一定困难重重。客户也必须要相信代工厂能良好的履行承诺,提供好的晶圆,以满足商定的芯片交货时间表。


  • 长江存储CEO杨士宁曝光三大消息打入华为Mate40研发太快要慢一点儿为赚钱转型生意人!

杨士宁表示:虽然长存的 64 层 3DNAND 只是我们第一个产品,但是这颗产品已经做到了 Mate40 的旗舰机里面,在这里面我们看到了国内半导体产业链彼此协同合作和未来长远的发展机会。


此外,杨士宁还展示了 Xtacking 技术,表示:在这一方面,长江存储也是走在国际最前沿的;长存的技术也是非常先进的,以后可以委托给中芯国际;同时也要感谢国产同行的支持。他还表示,目前只有带有 Xtacking 标签的终端产品才拥有真正国产闪存芯片(长存芯片)。


此外,长江存储也公布了自己的路线图,“长江存储 3 年走了其他人 6 年走过的路”长存的 128 层存储(TLC/QLC)正在推进。杨士宁表示 “我说我们不做最后一名,我们肯定不做最后一名,但我跟我们比的都是有三四十年历史的。虽然我还没有宣布,但下一代,争取在下一代走到最前沿,争取作第一第二名。


  • AMD苏姿丰获半导体产业最高荣誉!

AMD首席执行官苏姿丰成为罗伯特•N•诺伊斯奖历史上第一位女性华裔获奖者。


11月20日消息,在2020年美国半导体行业协会(Semiconductor Industry Association,下称SIA)的领导力论坛及颁奖典礼上,AMD首席执行官苏姿丰正式接过奖杯,成为罗伯特•N•诺伊斯奖(Robert N.Noyce)历史上第一位女性华裔获奖者。


  • 8吋代工市场为何如此火热?

8吋 SEMI统计,2020年8吋晶圆代工产能约600万片,至2022年成长将增至650万片,复合成长8%。


 

12吋 SEMI统计,2020年12吋晶圆厂投资较去年成长13%,创历年新高,预计在2023年将再创高峰。

 

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。



关键词:

相关推荐

技术专区

关闭