新闻中心

EEPW首页 > EDA/PCB > 业界动态 > 电子束导向设计eBeam创始计划正式运作

电子束导向设计eBeam创始计划正式运作

作者:时间:2009-02-25来源:电子产品世界收藏
  2009年2月24日,加州圣荷西讯- 多家电子业领导厂商今天共同宣布创始计划正式运作。此平台主要致力于教育及推广新的制造设计 (design-to-manufacturing)方法,也称作电子束导向设计(Design for e-Beam;DFEB)。藉由降低半导体设备的成本,电子束导向设计(DFEB)被视为成为增强设计启动以及缩短半导体设备上市时间的最终关键。

  本创始计划会员涵盖半导体上下产业链,会员分别为Advantest、Alchip Technologies、 Altos Design Automation、Cadence Design Systems、CEA/Leti、D2S、Dai Nippon Printing、e-Shuttle、eSilicon Corporation、 Fastrack Design、Fujitsu Microelectronics、Magma Design Automation、Tela Innovations、Toppan Printing、Virage Logic 以及Vistec 电子束微影制程技术集团。其它创始会员还包含:设计团体D.E Shaw研究公司处长Marty Deneroff、eSilicon的总裁兼执行长Jack Harding、PMC-Sierra 营运长Colin Harris、Qualcomm首席工程师兼技术经理Riko Radojcic以及STMicroelectronics 计算机辅助设计部门(Computer Aided Design)处长Jean-Pierre Geronimi。创始计划的指导小组由Advantest、CEA/Leti、D2S、e-Shuttle、Fujitsu Microelectronics,Vistec以及常务赞助商的 D2S多家公司共同组成。

业界对于电子束创始计划 (e-beam Initiative)的需求迫切

  先进IC制造的成本增加趋势似乎没有趋缓的迹象,除非采用全新的制造技术才有可能改变现况。随着每个制程节点(node)预算就向上倍增,这导致少量的ASICs应用及市场持续缩小,这反应着未来应用产品想获利将会是一项挑战。无须仰赖微影制程技术转变,电子束导向设计(DFEB)可最佳化且提高目前的电子束(e-beam)技术。藉由有效地利用电子束直描(EbDW)方法,电子束导向设计(DFEB)可忽视成本同时还可藉由缩短微影制程技术导向设计(design-to-lithography) 的制程流程达到加速产品快速上市。

  除了之前提到的明显优势外,电子束()的制造方式将使系统公司寻求更早的原型品以供测试,这种电子束导向设计(DFEB)可在广大的特殊应用领域上产生巨大影响,这反应在中低量的半导体公司生产的测试芯片、样品以及在设计产生的变异性上。

  由于eBeam创始计划会员分布整个产业链,从硅质材(IP)、电子设计自动化软件公司(EDA)到半导体制造商、设备制造商、系统设计公司、研究单位、服务公司以及光罩制造商,创始计划预期可加速生产导向的电子束直描(EbDW)技术使用电子束导向设计(DFEB)。

  「通过成功的合作,我们能够分享及教育产业,新的无光罩制造方法提供无数的好处。」D2S执行长 Aki Fujimura表示:「目前光罩产业预算价格过高,然而,发展电子束导向设计(DFEB)将可降低光罩成本同时衍生出多样的低量系统单芯片(SoCs)。」

  PMC-Sierra 营运长暨eBeam创始计划顾问Colin Harris表示:「我们在今日的许多领导厂商身上看到这项技术逐渐带来的利益,其证实了具有高潜力的电子束导向设计(DFEB)可控制持续成长的光罩成本。透过较低门坎来做投片(tape out),我们将可更迅速地采用新的技术制程及在目标产品上追求更低功耗、更具效能的特性。」

早先的成果证实电子束导向设计(DFEB)的成功

  许多的eBeam创始计划会员已共同合作并证实了无光罩制造方式在45纳米及32纳米制程节点的测试光罩上获得成功。

  相关档「Cell Projection Use in Maskless Lithography for 45-nm and 32-nm Logic Nodes」将于2月24日下午2点20分在圣荷西麦肯纳吉会议中心举办的「SPIE 先进电子束微影制程技术」会议,第五场:电子束直描(EBDW)议题上发表。


关键词: eBeam 光罩

评论


技术专区

关闭