新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 基于DSP的QPSK调制器的设计

基于DSP的QPSK调制器的设计

作者:时间:2011-05-11来源:网络收藏
四相相移键控调制(Quaternary Phase Shift Keying,QPSK)是一种线性窄带数字调制技术,它已经在数字调制技术中占有重要的地位,被广泛地应用于卫星通信、移动通信、视频会议系统、蜂窝电话和其它数字通信领域。具有频带利用率高、频谱特性好、抗衰落性能强、较低的比特错误率等优点。

  技术主要是指将的基本理论和算法付诸实现的途径和方法。传统的技术是当前广泛使用的DSP处理器的解决方案,而这种解决方案日益面临着不断增加的巨大挑战,自身的技术瓶颈导致这种解决方案在DSP许多新的应用领域中的道路越走越窄。而现代DSP技术是相对于传统DSP技术而言的,是基于可编程片上系统SOPC(System ON a Programmable Chip)技术、EDA技术与FPGA实现方式的DSP技术,是现代电子技术发展的产物,它有效地克服了传统DSP技术中的许多瓶颈,在许多方面显示了突出的优势,如高速与实时性,高可靠性,自主知识产权化,系统的重配置与硬件可重构性,单片DSP系统的可实现性以及开发技术的标准化和高效率。QPSK设计采用MATLAB/Simulink DSP 开发出用于的正交信号产生单元,在电路模块的形成方式上用DSP 的模块调用代替繁琐的VHDL程序,从而方便的得到了所需的结果。系统的实现以FPGA为物理载体,与传统的基于硬件描述语言的设计相比,这种流程更快捷方便灵活。

  1 原理

  所谓的就是利用载波的四种不同相位来表征数字信息,每一种载波相位代表两个二进制代码元信息。由于每一个载波相位代表两个二进制码元信息,所以每四个二进制码元又被称为双比*元。

  QPSK信号的表示式为

  其中,A为载波振幅,wc为载波角频率,θi为载波相位。

  若,则QPSK信号的初始相位为0,若,则QPSK信号的初始相位为π/4。

  将式(1)写成

  I(t),Q(t)为+1或-1。则式(3)即为QPSK的数学表达式。

  QPSK信号的调制可分为相位选择法和调相法,本文采用调相法进行设计,其调制框图如下图1所示。

QPSK调相法调制框图

图1 QPSK调相法调制框图

  图1中,串/并变换器将输入的二进制序列依次分为两个并行的双极性码序列。设二进制数分别为a和b。双极性的a和b脉冲通过两个平衡调制器分别对同相载波和正交载波进行二相调制,两路输出叠加后就可以得到QPSK信号。

  2 基于DSP Buildter的QPSK设计

  DSP 可完成图形化的系统建模、设计、仿真、把设计软件下载到FPGA开发板上。它是一个系统级的开发工具,架构在多个软件之上,并把系统级和RTL级两个设计领域的设计工具连接起来,最大程度的发挥了两种工具的优势。DSP Builder依赖MathWorks公司的数学分析工具Matlab/Simulink,以Simulink的Blockset出现,可以在Simulink中进行图形化设计和仿真,同时通过SignalCompiler可以把Matlab /Simulink的设计文件(.mdl)转成相应的硬件描述语言VHDL设计文件(.vhd),以及用于控制综合与编译的TCL脚本。而对后者的处理可以由FPGA/CPLD开发工具QuartusⅡ来完成。

  研究采用6.1、DSP Builder6.1和Madab Rb2006作为FPGA的设计及测试平台。因此,在设计的过程中可以很方便的调用DSP-Bbuilder和Simulink库中的图形模块来建立硬件模型,输入信号也可方便的调用Simulink模块。依据QPSK的基本原理,可以快速的建立QPSK模型。要完成QPSK的建模,首先打开MATLAB,在命令窗口输入“Simulink”进入图形化仿真建模环境,新建一个仿真模型。依照图1的原理图设计,建立模型如图2所示。

QPSK调制系统的整体模型

图2 QPSK调制系统的整体模型

  图2中,由频率字、延时器、加法器和两个LUT组成正交信号发生器,产生两个正交的载波信号。随机信号发生模块产生随机信号,经过反相器形成数字基带信号,经过串并转换模块变为并行信号,再经过多路选择器模块输出+1和-1,然后和正交信号发生器产生的正交载波信号相乘,最后在加法器中进行相加实现QPSK调制。


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭