新闻中心

EEPW首页 > EDA/PCB > 业界动态 > (2023.10.7)半导体周要闻-莫大康

(2023.10.7)半导体周要闻-莫大康

作者:时间:2023-10-08来源:求是缘半导体联盟收藏

半导体周要闻

本文引用地址:http://www.eepw.com.cn/article/202310/451222.htm

2023.9.28-2023.10.6

1. 决战2nm,4年追赶5代制程,与维持竞合关系

明年底,将推进至18A制程,挑战2nm制程,并将用于2025年推出的服务器处理器产品上。认为,届时将用比超微更佳的制程夺回市场。则预计于2025年量产2nm制程芯片。

基辛格宣告英特尔下一代制程20A将在明年上半年推出。A代表埃米,一埃米是10分之1nm,20A代表的即是2nm制程。由于电晶体的体积极小,因此,英特尔将改采用GAA(闸极全环电晶体)技术设计的新型电晶体「RibbonFET」,以及从背面供电的PowerVia技术。他表示英特尔明年底将推出18A制程,由于台积电也将改采GAA技术设计电晶体,明年底,两家公司将决战2nm制程。

根据报导,业界人士透露,今年英特尔推出的最新型处理器,最核心的计算单元仍是用英特尔最新制程打造,但图形单元则由台积电制造,再利用先进封装整合成一颗芯片。这一次,英特尔首次加入NPU单元,打造AI处理器和英伟达、AMD竞争。

今年推出的METEOR LAKE处理器采用英特尔四制程,2024年下一代处理器ARROW LAKE推出时,却将采用英特尔20A制程,2024年之后推出的LUNAR LAKE处理器又将改采英特尔18A制程,和过去一代制程用在好几代产品上的做法大为不同,改变带来的影响值得观察。

2 . Chiplet推动SiP封装大爆发

据Yole统计显示,SiP市场总收入在 2022 年达到212亿美元。预计到 2028 年将达到 338亿美元,复合年增长率为 8.1%,这主要是受到异构集成、小芯片、封装占用空间以及5G、人工智能、高性能计算、自动驾驶和物联网等细分市场的成本优化趋势的推动。

SiP 市场主要由移动和消费领域主导,该领域占 2022 年总收入的 89%,并将在未来继续主导市场,其未来几年的复合年增长率为 6.5%。这是由手机、高端 PC 和游戏领域越来越多地采用 2.5D/3D 技术推动的;高端手机设备中的 HD FO;以及手机和可穿戴设备中更多 FC/WB SiP,包括 RF 和其他连接模块。

未来几年,电信和基础设施市场预计将增长 20.2%,主要受到人工智能、高性能计算和网络领域及其不断增长的性能要求的推动。

汽车市场正在以 15.3% 的复合年增长率增长。它将受到车辆电气化和自动驾驶趋势的推动,包括 ADAS 和 LiDAR 等应用,其中需要更多的传感器和摄像头。 

图片

3. 前台积电厂长加前尔必达社长!昇维旭拟建12吋DRAM厂,计划2024年1季度试产

近期在深圳新成立的DRAM厂商昇维旭技术公司(SwaySure),在宣布前尔必达社长、紫光集团高级副总裁坂本幸雄出任首席战略官之前,就挖来了前台积电厂长刘晓强担任CEO。而最新的消息显示,昇维旭将自建12吋DRAM厂,计划2024年第1季度试产。

根据芯智讯最新了解到的信息显示,昇维旭预计将投资3000亿人民币建造12吋DRAM厂,将会从28nm制程切入DRAM制造,规划总产能14万片/月,第1期已在建造中,规划明年第3季引进机台设备,2024年第一季度试产。

根据TrendForce预测,2021年底全球内存产能将达到150万晶圆/月,三星产能超过55.5万晶圆/月,SK海力士是36万片晶圆/月,美光也有35.5万晶圆/月。

目前产能最大国产DRAM厂商是长鑫存储,此前在2020年、2021年分别实现了4.5万片晶圆/月、6万片晶圆/月的产能目标。2022年的产能目标是12万片晶圆/月。即便是加上昇维旭规划的14万片晶圆/月的产能,与排名第三的美光相比,也仍有不小的差距。

开局更早的福建晋华,由于美国的制裁,发展缓慢,不过去年有消息称,其已小批量试产25nm产品。最新的招标信息也显示,晋华预5月采购了1台CVD设备,2台前道检测设备,3台前道计量设备,两台退火设备和1台离子注入设备。

4. 3nm台积电的一道小坎

2023年9月13日,苹果的秋季新品发布会上,与iPhone 15 Pro系列一同登场的,还有全球首款3nm芯片A17 Pro,其依旧出自苹果的老朋友台积电之手。

意外偏偏发生了,这颗本应强大的A17 Pro芯片提升幅度并没有大家想象中那么大,反而iPhone 15 Pro的发热问题,让苹果变成了“火龙果”。

郭明錤称,iPhone 15 Pro 系列的过热问题,与台积电的 3nm 制程无关,主要很可能是为了让重量更轻,因此对散热系统设计作出了妥协,像是散热面积较小、采用钛合金影响散热效果等。

根据Techinsights的芯片拆解,与A16相比,A17 Pro 每颗性能核心和效率核心的面积减少了 20%,每个 GPU 核心的面积增加了 5%,整体 GPU 核心增加了 20%,且由于工艺制程的进步,A17 Pro芯片的整体面积略有缩小,但晶体管数量来到了新高,为190亿,对比上代的160亿晶体管,增加了近20%,能够完成如此大的升级,台积电3nm工艺功不可没。

但根据苹果官方的公告,A17 Pro的CPU整体性能只是比上一代提升了约10%,提升幅度达到20%的GPU又有很大程度上是因为5核变6核,只有NPU提升幅度最大,算力从17TOPS升级到35TOPS,不难猜测它的实际规模变大了不少,外加新的USB 3控制器的加入,这些就是A17 Pro的主要升级点了,并未完成很多人预期里的大幅度跨越。

当A17 Pro褪去了神话光环之际,台积电的3nm也备受质疑。

更要命的当然还是良率问题,根据 Hi Investment & Securities 的数据,三星的 3 nm良率估计超过 60%,相比之下,台积电的 3 nm良率约为 55%,新技术的良率几乎与旧技术良率持平,让人不由想起了几个月前曝光的苹果与台积电之间的“甜心交易”:苹果向台积电下巨额 3nm 芯片订单,但是要求不合格芯片成本均由台积电自己承担,苹果只需要为良品芯片付费,有媒体表示,这样下来,苹果每年可节省数十亿美元。

目前,台积电为苹果代工的依旧是N3B即第一代3nm工艺,该工艺的好处是晶体管密度大幅增加,即A17 Pro实现的190亿晶体管,而明年登场的N3E,在晶体管密度上表现会稍逊一筹,但功耗控制方面更加理想,包括苹果在内的的多家厂商都有意采用这项工艺,如果届时台积电能大幅提升良率,相信上门的Fabless厂商依旧会络绎不绝。

但三星早已拿着GAA的3nm虎视眈眈,一旦台积电犯下错误,原本属于它的订单就有可能流向老对手,而这样的局面早在16nm和7nm时就上演过,如今3nm悬而未决,未尝没有再发生一次的可能性。

5. 麒麟9000S诞生后,华为将停止采购高通芯片新机型,全面采用自家新麒麟处理器

根据此前天风国际证券分析师郭明錤 (Ming-Chi Kuo)调查,华为在2022年、2023年分别向高通采购2300-2500万、4000-4200万颗手机SoC芯片。

然而,华为预计从2024年开始,将停止采购高通芯片,新机型全面采用自家设计的新麒麟处理器。如此一来,高通不仅将完全失去华为订单,还要面临非华为中国品牌因华为手机市占率提升而出货衰退的风险。

预计高通2024年对中国手机品牌的SoC出货,将因华为采用新麒麟处理器较2023年至少减少5000-6000万颗,而且预期持续逐年减少。

6. 美国大意了,台积电公布1nm芯片厂选址,张忠谋留了一张王炸

台积电带到美国的不仅仅是5nm和3nm的生产线,更重要的是其丰富的经验、技术秘密以及一流的工程师团队。这种合作似乎让美国在芯片领域更加坚不可摧。

尽管部分技术和生产线已迁至美国,但其最前沿的技术仍然掌握在台积电手中。在台积电的长远规划中,2025年是2nm制程的量产节点,而1nm工艺则计划在2028年量产。这也意味着,台积电并不完全依赖美国,它有其独特的业务规划和发展策略。

对于中国来说,这无疑是一个难得的机遇。近年来,中国在芯片技术上的发展迅速,但与美国等先进国家相比仍然存在一定的差距。目前,台积电的策略为中国的芯片业创造了更大的机会。

台积电最近的决策显示,虽然其与美国的合作关系日益紧密,但其在全球布局上并没有完全依赖美国。这样的策略或许源于一个简单的逻辑:放所有的鸡蛋在一个篮子里是有风险的。而在目前全球政治与经济形势的不确定性下,这种风险是不容忽视的。

7. 格科微3200万像素产品已获得多个品牌订单,5000万像素产品计划Q4向品牌送样

近日,格科微在接受机构调研时表示,公司已经成功研发出单芯片高像素产品,该系列产品在成像效果不输同类产品的同时,成本优势明显,且具有良好的模组兼容性。目前 3200 万像素产品已经获得多个品牌订单,乐观估计今年将迎来量产;5000万像素产品计划 Q4 向品牌送样,乐观估计明年获得量产订单。公司认为上述技术创新将引领中高端智能机前后主摄芯片技术方向。

对于安防、数码等非手机业务,格科微称,上半年,在非手机 CMOS 图像传感器领域,公司进一步提升产品规格,继 400 万像素产品导入品牌客户并量产后,公司正式发布一款宽动态、低功耗 4K 图像传感器 GC8613,采用格科微特色的DAG 电路架构,实现了无伪影单帧宽动态图像输出,并应用于智慧城市、智慧家居、会议系统等场景。

在汽车电子领域,凭借成熟的像素工艺和先进的电路设计,公司产品在低光下成像效果清晰度以及高温下图像质量稳定程度均有突破;公司产品主要用于行车记录仪、倒车影像、360 环视、后视等方面,23 年上半年在后装市场实现超过 1 亿元销售额。

公司募投项目“12 英寸 CIS 集成电路特色工艺研发与产业化项目”进展顺利,已完成首批设备的安装调试,顺利产出了良率符合预期的合格产品,并通过了长期信赖性测试验收,达到大规模量产条件。后续该工厂将主要承接公司 32M 及以上产品生产,目标产能为 20,000 片/月后道产能。临港工厂的建设,标志着公司经营模式向 Fab-lite 成功转型。

8. 首款商用800G硅光子数据中心芯片来了

本周在格拉斯哥举行的欧洲光计算会议 (ECOC) 上展示的 800G PIC(光子集成电路)是首款针对 DR8 和 DR8+ 应用的商用单芯片样品。它提供 8 个以 100Gb/s 独立调制的光通道,总带宽为 800Gb/s。

该芯片采用紧凑的 7.5mm x 7mm 封装,可用于行业标准 QSFP 和 OSFP 样式外形尺寸,并支持超大规模数据中心以及人工智能和机器学习集群等应用中长达 2 公里的互连。

PIC 包括片上激光器,采用了 DustPhotonics 的专利 L3C(低损耗激光耦合)技术,因此来自各种不同制造商的现成激光器可以与 PIC 集成。这使得产品性能、成本、功耗和供应链可扩展性方面具有优势。

9. 最先进High NA EUV光刻机英特尔年底导入

英特尔 (Intel) 上周宣布,投资185亿美元的爱尔兰Fab 34晶圆厂以EUV微影曝光设备量产,是英特尔芯片制造的重要里程碑。

英特尔强调,有了High-NA EUV微影曝光设备,理论上可实现“四年五节点制程”目标。Ann Kelleher 说英特尔正按计划进行,已完成两个节点制程,也就是Intel 7及Intel 4,第三个节点Intel 3很快就会开始,最后Intel 20A及Intel 18A节点都有非常好进展。

ASML CEO Peter Wennink 在9月接受媒体采访时透露,尽管供应商有些问题,但 ASML仍会照计划,年底交货High NA EUV微影曝光设备。一套High-NA EUV微影曝光设备体积和卡车相当,每台超过3亿欧元,满足各类半导体制造商需求,十年内可制造更小更先进的芯片。

10. 美商务部正式宣布中国芯片禁令解除,美媒称永久断供先进芯片

不久前,美国商务部长雷蒙多访华归国后宣布,将暂时解除对我国芯片出口的部分限制。

长期以来,中美双方在芯片战争上打得十分激烈,而美国为了遏制中国的芯片发展更是在早年时对我国多家围堵。

美国商务部更是宣布,将解除对中国芯片出口的部分限制措施。猛一听这是一个皆大欢喜的事对不对,可事实上人家留了个心思禁止高端芯片的进入。

但你不得不说,这一举措从某种角度,也的确可以被业内视为中美在芯片领域实现“破冰”的关键一步。

当然,这一政策的放松对我国而言也是有巨大的好处的。

咱们国内的企业可以重新获取先进技术和设备,加速自主创新,弥补过去技术断层问题。

中国市场也将更大限度对外开放,有利于引入全球资源,提升产业国际竞争力。整个中国,将在公平竞争环境下提升实力,在全球舞台上取得更大成就。

如今虽然美国缓解了某些芯片的限制条件,但正如咱们说的那样。核心技术,还掌握在对方手里。而这,也是其永远不会与我们分享的存在,

中美博弈仍在继续,成败的天平尚未倾斜。让我们以史为鉴,砥砺前行,以创新者的姿态书写科技强国的崭新篇章。



评论


相关推荐

技术专区

关闭