新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 基于STM32的OV7670摄像头总结

基于STM32的OV7670摄像头总结

作者:时间:2017-09-29来源:网络收藏

  一、模块:

本文引用地址:http://www.eepw.com.cn/article/201709/364999.htm

  介绍一下传感器:CMOS器件;标准的SCCB接口,兼容IIC接口;内置感光阵列,时序发生器,AD转换器,模拟信号处理,数字信号处理器.....

  大致工作过程:光照射到感光阵列产生相应电荷,传输到相应的模拟信号处理单元,再由AD转换为数字信号,在经由数字信号处理器插值到RGB信号,最后传输到屏幕上......

  先了解一下基础知识:现在市面上的模块分两种:1、带FIFO芯片;2、不带FIFO芯片。当然带FIFO的要贵一点~下面介绍带FIFO和不带FIFO的工作原理:

    

  图1:不带FIFO

  图2:带FIFO

  下面就讲解这两种方式的适用范围:

  不带FIFO:这种方法最简单,最直接,但是最不好实现的方法,原因是多数的CMOS芯片(如OV7670)的时钟速度可以高达24M,一般单片机的IO口速度根本达不到(stm32的IO速度,寄存器比库函数快,博主之前测,用库函数IO口速度好像是2.5Mhz,而用寄存器IO口速度是8M吧,速度相差较大~)。当然,高级的MCU,如ARM9以上或者DSP图像处理芯片等,本身处理速度快,内存大而且有的还带camera接口,可以不用带FIFO。主要是人家价格也高啊~

  但也不是不是完全没有办法在低速上实现采集,方法也很简单,那么就是降低CMOS 的输出速度,不过这需要靠外部的晶振和内部的PLL 电路以及像素时钟速度,帧速等多个寄存器共同设置,并且要和MCU 的IO 速度匹配才可实现。但不建议这么做,原因是:这种寄存器设置将带来更多的学习困难和理解困难,并导致硬件图像的采集速度可能下降到0.5 帧以下,同时带来图像失真的可能。

  还有一种方法就是DMA方式采集,代码复杂,速度在5-10帧左右。(博主本来想用该方法的,可是基础差,调试困难。会接着调试~)

  注:部分CMOS 时钟速度不快,可以单片机直接采集,如OV7660,但该芯片已经停产。

  带FIFO:由于采用了FIFO 做为数据缓冲,数据采集大大简便,用户只需要关心是如何读取即可,不需要关心具体数据是如何采集到的,这样可减小甚至不用关心CMOS 的控制以及时序关系,就能够实现图像的采集。

  注意:FIFO不具备地址功能,因此他也就不具备数据的定位(选址)读取功能,所以不可能有真正的数据处理能力!

  总的来说:带FIFO比不带FIFO操作起来更简单,8位MCU也能胜任。下面我们参考战舰摄像头实验(带FIFO的OV7670模块)

  二、OV7670的图像数据输出格式:(参考战舰开发指南)

  先简单了解几个定义:

  VGA:分辨率为640*480的输出模式

  QVGA:分辨率为320*240的输出格式

  QQVGA:分辨率为160*120的输出格式

  PCLK:像素时钟,一个PCLK时钟,输出1个像素或半个像素

  VSYNC:帧同步信号

  HREF/HSYNC:行同步信号

  先看行输出时序:

    

  图3:OV7670行输出时序

  图3中,图像数据在HREF为高的时候输出,当HREF变高后,每一个PCLK时钟,输出一个字节数据。比如我们采用VGA时序,RGB565格式输出,每两个字节组成一个像素的颜色(高字节在前,低字节在后),这样每行输出总共有640*2个PCLK周期,输出640*2个字节。

  在来看帧时序:

    

  图4:OV7670帧时序

  在图4中,VSYNC位高时产生一个帧同步信号,故当产生两个帧同步信号时,一帧数据输出完成。注意:图中的HSYNC和HREF其实是一个引脚产生的信号,只是在不同的场合下面,使用不同的信号方式。

  三、战舰OV7670模块原理图讲解:

    

 

 

  图5:战舰OP7670模块原理图

  在图5中,我们用3种颜色的线,将OV7670模块原理图中几个重要芯片同MCU“连”了起来。不多说,看图~

  四、存储和读取图像数据的过程及程序讲解(参考原子哥的开发指南和代码)

  对于该模块,我们只关心两点:1、如何存储图像数据;2、如何读取图像数据

  1、存储(OV7670往FIFO中写数据)

  战舰OV7670模块存储图像数据的过程为:等待OV767同步信号->FIFO写指针复位->FIFO写使能->等待第二个同步信号->FIFO写禁止,通过以上5个步骤就可以完成一帧图像的存储

  2、读取(MCU从FIFO中读取数据)

  读取过程:FIFO读指针复位->给FIFO读时钟(FIFO RCLK)->读取第一个像素高字节->给FIFO读时钟(FIFO RCLK)->读取第一个像素低字节->给FIFO读时钟(FIFO RCLK)->读取第二个像素高字节->循环读取剩余像素->结束

  比如QVGA模式,RGB565格式,我们总共循环读取320*240*2次,就可以读取一帧数据,把这些数据写入LCD模块,就可以看到摄像头的画面了。

  程序讲解:(主要是OV7670对FIFO的写控制和MCU从FIFO中读取数据)

  1、利用外部中断来对OV7670进行写操作控制

    

  图6:外部中断对OV7670进行写控制

  详细解释请看代码注释~

  2、MCU从FIFO中读取数据(更新LCD显示)

  图7:更新LCD显示函数(MCU读取FIFO数据)

  详细请看代码注释~



关键词: STM32 OV7670

评论


相关推荐

技术专区

关闭