新闻中心

EEPW首页 > EDA/PCB > 设计应用 > 基于DDS的高精度任意波形发生器设计

基于DDS的高精度任意波形发生器设计

作者:时间:2010-09-13来源:网络收藏

摘要:系统利用直接数字频率合成技术()完成设计,以FPGA作为核心控制器件,用Flash和RAM作为波形数据存储模块,在上位机软件的控制下,利用D/A转换器,实现正弦波、方波、三角波、锯齿波、高斯白噪声等任意波形输出。系统可广泛用于通讯、遥控遥测、震动激励和仪器仪表等领域。
关键词:;FPGA;Flash;RAM;上位机;D/A转换器

本文引用地址:http://www.eepw.com.cn/article/191578.htm

随着数字信号处理技术的飞速发展,大动态范围D/A转换器的出现和广泛应用,基于取样技术和计算技术,通过数字方法生成频率和相位相对固定且可调的合成技术,即直接数字频率合成()技术日益成熟,它采用全数字化结构,具有频率分辨率高、相对带宽宽、频率转换速度快、相位噪声低、信号纯度高等优点。因此,本系统采用DDS技术来完成设计。

1 DDS工作原理
直接数字频率合成(DDS)技术是一种以采样定理为基础的全数字化波形产生方法。DDS频率合成器主要由相位累加器、波形数据存储器、D/A转换器和低通滤波器组成,其原理框图如图1所示。在一个系统时钟周期内,相位累加器将前一次的累加值与频率控制字相加,得到新的累加值,将新的累加值作为地址,从波形数据存储器中读取信号的幅度值,送入D/A转换器将数字信号转换为模拟信号,最后再经低通滤波器生成需要波形。其中波形数据存储器中存储了周期信号单个周期的幅度值,相位累加器每溢出1次,可从波形数据存储器中读取1个周期的信号幅度值。因此,若假设频率控制字为K,相位累加器为N位,则经过4a.jpg个系统时钟周期,可产生1个周期的输出信号,再设系统时钟频率为fsclk,则输出信号频率4b.jpg

4c.jpg

2 系统总体框图
系统总体框图如图2所示。其中,上位机软件由LabWindows软件编写,用于控制信号的产生,下位机以FPGA作为核心控制器件,主要用于接收上位机发送的控制命令和信号参数,并控制下位机系统产生对应波形。下位机系统主要分为FPGA控制单元、波形存储单元、波形产生单元。波形存储单元主要包括Flash和SRAM,Flash用于存储各种波形数据,波形产生时,FPGA先将要产生的波形数据从Flash读入SRAM,再利用相位累加器的累加值从SRAM中读取波形数据。波形产生单元主要完成波形数据的产生,首先将SRAM读出的波形幅度数据送入D/A转换器,产生信号的阶梯序列波,再通过低通滤波器和功率放大电路产生输出信号。

4d.jpg

3 系统硬件设计
3.1 Flash连接电路图

4e.jpg


上一页 1 2 3 4 下一页

评论


相关推荐

技术专区

关闭