新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 如何以10进位显示8位数的七段显示器?

如何以10进位显示8位数的七段显示器?

作者:时间:2011-05-12来源:网络收藏

七段在DE2可当成Verilog的控制台,做为16的输出结果。

本文引用地址:http://www.eepw.com.cn/article/150755.htm

介绍
使用环境:Quartus II 7.2 SP3 + DE2 (旋风II EP2C35F627C6)

简单的使用开关当成2输入,并用8的七段10的结果。

switch_seg10.v/Verilog


1/*
2 (c) OOMusou 2008年
3
4文件名 : switch_seg10.v
5编译器 : Quartus II 7.2 SP3
6描述: 演示使用8位7段显示小数
7发行 : 07/20/2008 1.0
8 *
9模块switch_seg10 (
输入的10 [17 :0] SW,
输出的11 [6 :0] HEX0,
输出的12 [6 :0] HEX1,
输出的13 [6 :0] HEX2,
输出的14 [6 :0] HEX3,
输出的15 [6 :0] HEX4,
输出的16 [6 :0] HEX5,
输出的17 [6 :0] HEX6,
输出的18 [6 :0] HEX7
19);
20
21 seg7_lut_8 u0 (
22 .i_dig (SW),
23 .o_seg0 (HEX0),
24 .o_seg1 (HEX1),
25 .o_seg2 (HEX2),
26 .o_seg3 (HEX3),
27 .o_seg4 (HEX4),
28 .o_seg5 (HEX5),
29 .o_seg6 (HEX6),
30 .o_seg7 (HEX7)
31);
32
33 endmodule

这是顶面模块,负责建立例化seg7_lut8。


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭