首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> synopsys

synopsys 文章 进入synopsys技术社区

新思科技VCS多核技术使验证速度提升两倍

  • 全球领先的半导体设计与制造的软件和知识产权(IP)供应商新思科技(Nasdaq:SNPS)今天发布了VCS®功能验证解决方案...
  • 关键字: Synopsys  VCS  新思科技  验证  

Synopsys推出其扩展型Confirma快速原型平台

  •   全球领先半导体设计和制造软件及IP供应商Synopsys公司,今天宣布推出其扩展型Confirma™快速原型平台。通过引入最近收购的CHIPit®各种产品、工具和技术,简化了快速原型构建的实施和部署工作,确保用户可以更快地开始硬件辅助系统验证和嵌入式软件开发。再辅之以HAPS高性能原型硬件,扩展型Confirma平台现可同时提供软件可配置架构和基于事务的协同验证能力。Confirma平台结合了经过验证的原型方法、IP、服务、硬件和软件,对于多种原型应用来说都是一个全面的解决方案。S
  • 关键字: Synopsys  Confirma  

Synopsys开创快速原型新时代

  •   美国加利福尼亚州MOUNTAIN VIEW ,2009年2月9日—全球领先半导体设计和制造软件及IP供应商Synopsys公司(纳斯达克股票代码:SNPS),今天宣布推出其扩展型Confirma™快速原型平台。通过引入最近收购的CHIPit®各种产品、工具和技术,简化了快速原型构建的实施和部署工作,确保用户可以更快地开始硬件辅助系统验证和嵌入式软件开发。再辅之以HAPS高性能原型硬件,扩展型Confirma平台现可同时提供软件可配置架构和基于事务的协同验证能力。Conf
  • 关键字: Synopsys  Confirma  

Altera携手Synopsys为ASIC设计提供Nios II处理器内核

  •   Altera和Synopsys宣布,Altera流行的Nios II处理器内核可通过DesignWare Star IP包提供许可给客户使用。这一新品扩展了Altera现有的FPGA和HardCopy®结构化ASIC产品供给,帮助Nios II用户将设计移植到标准单元ASIC。Nios II处理器内核是应用最广泛的FPGA处理器,其客户群有5,000多家电子设备生产商,包括世界上排名靠前的OEM。   通过DesignWare Star IP包,设计人员可以使用一流Star IP供应商开发的
  • 关键字: 嵌入式系统  单片机  Altera  Synopsys  Nios  II  MCU和嵌入式微处理器  

Synopsys宣布DesignWare® USB 2.0 nanoPHY IP已获USB标志认证

  • Synopsys公司宣布基于中芯国际130纳米G工艺的DesignWare?  USB 2.0 nanoPHY IP已获USB标志认证,PCI Express (PCIe) PHY IP已通过一致性测试。作为用于PCI Express和 USB 2.0 的完整IP解决方案的领先技术供应商,Synopsys一贯为设计者提供高质量IP,该IP不但集成风险低、上市时间短,而且具有很高
  • 关键字: 消费电子  Synopsys  中芯国际  USB  消费电子  

校企合作谁获益

  •     近年来,高校与企业间的合作越来越多。与《电子产品世界》曾经合作过大学计划的就有TI,NI,Altera,Freescale,Xilinx,ST,Microchip,ARM,英蓓特等很多企业。大学通过与企业合作,与市场联系得更紧密,知道市场更需要什么样的人才,从企业引入了资金和项目;学生通过参加企业组织的大学计划,得到了实践和锻炼机会,从而有更多机会在毕业后进入世界一流的企业工作;企业通过组织大学计划,加强了与高校的沟通和联系,使企业形象和技术理念深入人心,从中获得了优
  • 关键字: 高校  企业  合作  Synopsys  ST  大学计划  

Synopsys在华确立VMM验证方法标准

  •   Synopsys今天宣布,由ARM 和 Synopsys 公司推出的SystemVerilog 验证方法学(VMM)被中国主要电子公司采用,用于开发先进验证环境。Synopsys 还宣布,《SystemVerilog 验证方法学》一书中文版已由中国航空航天大学出版发行。至今,本书的英文版已售出3,500多本。   《SystemVerilog 验证方法学》由 ARM 和 Synopsys 公司的技术专家共同撰写,书中描绘了如何使用 SystemVerilog 创建采用覆盖主导、随机约束、基于断言验证
  • 关键字: Synopsys  VMM  单片机  嵌入式系统  

Synopsys发布DESIGN COMPILER 2007

  • Synopsys发布了最新版 DESIGN Compiler 综合解决方案—— Design Compiler 2007。新版本扩展了拓扑技术,以加速采用先进低功耗和测试技术的设计收敛,帮助设计人员提高生产效率和 IC 性能。 拓扑技术可帮助设计人员正确评估芯片在综合过程中的功耗,在设计早期解决所有功耗问题。此外,还支持 Design Compiler 2007 中新的测试压缩技术,在实
  • 关键字: Synopsys  消费电子  消费电子  

Synopsys推出下一代布局布线解决方案

  • Synopsys发布了下一代布局布线解决方案——IC Complier 2007.03版。该版本运行时间更快、容量更大、多角/多模优化(MCMM)更加智能、而且具有改进的可预测性,可显著提高设计人员的生产效率。 同时,新版本还推出了支持正在兴起的45纳米技术的物理设计。目前,有近百个采用IC Compiler的客户设计正在进行中,订单金额超过一亿美元。IC Compiler正成为越来越多市场领先的IC设计公司在各种应用和广泛硅技术中的理想选择。2007.03&nbs
  • 关键字: Synopsys  布局布线  下一代  

Synopsys推出IC COMPILER 2007.03版

  •   Synopsys 今天发布了下一代布局布线解决方案——IC Complier 2007.03 版。该版本运行时间更快、容量更大、多角/多模优化(MCMM)更加智能、而且具有改进的可预测性,可显著提高设计人员的生产效率。   同时,新版本还推出了支持正在兴起的45纳米技术的物理设计。目前,有近百个采用IC Compiler的客户设计正在进行中,订单金额超过一亿美元。IC Compiler正成为越来越多市场领先的IC设计公司在各种应用和广泛硅技术中的理想选择。2007.03 版的重大技术创新将为加速其广
  • 关键字: COMPILER  Synopsys  单片机  嵌入式系统  

瑞萨科技选用Synopsys IC Compiler 作为SoC设计流程解决方案

  •   Synopsys宣布,瑞萨科技公司已采用Synopsys IC Compiler 下一代布局布线解决方案用于产品IC 的设计流程。随着瑞萨设计项目的日益复杂化,他们需要满足各种不同功能模式下的时序安排。在全面评估了所有备选方案之后,瑞萨最终选择了 Synopsys IC Compiler 解决方案,因为可以通过其真正的多模式功能,经并发优化所有时序模式,从而实现期望的芯片性能。瑞萨同时也获得了转换时间更短和使用更加简便的优势。   瑞萨科技公司设计技术部DFM & EDA 技术开发组部门经理
  • 关键字: SoC  Synopsys  单片机  嵌入式系统  瑞萨科技  SoC  ASIC  

Synopsys优化Hercules物理验证套件完善IBM 65nm 设计工具包

  •   Synopsys宣布,其Hercules™ 物理验证套件 (PVS) 已经实现了先进器件参数测量功能。该功能的开发可支持IBM 最新发布的65nm 设计工具包,从而帮助IBM晶圆代工客户应用Hercules工具包中的版图原理图一致性验证 (LVS) 规范文件,轻松而准确地将器件特性与IBM流程相关联。   作为65nm设计工具包发布的一部分,最新的Hercules设计规则检查(DRC) 也可同时提供给IBM晶圆代工客户。这些文件有助于提升精度并优化性能。   IBM全球工程解决方案实施
  • 关键字: 65nm  Hercules  Synopsys  单片机  嵌入式系统  

Synopsys公司中国员工自发捐资重建湘西小学

  • 全球领先的电子设计自动化(EDA)软件工具领导厂商Synopsys宣布,由中国员工发起,全球员工参与的自发捐资重建的湘西永明小学新教学楼,已于2006年底顺利竣工,并用上新的名字:新思永明小学。 Synopsys上海ELC(Employee Leadership Community)组织通过偶然的机会了解到,公司湖南籍员工阳小奇的家乡小学因缺乏经费而年久失修,于是自发号召Synopsys公司中国区的全体员工奉献爱心,捐款重建位于湘西山区的永明小学。此次捐资活动于2006年6月10日正式启动,不仅得到Sy
  • 关键字: Synopsys  

Synopsys DFT MAX助珠海炬力节省90%测试成本

  •   Synopsys宣布,珠海炬力集成电路设计有限公司(简称珠海炬力)已采用Synopsys DFT MAX扫描压缩自动化解决方案实现其0.13微米系统级芯片(SoC)设计,使测试设备相关成本降低了90%。DFT MAX通过片上扫描数据压缩,可显著减少高质量制造测试所需的测试时间和测试数据量。    珠海炬力首席技术官李邵川表示:“作为便携式多媒体播放器(PMP)SoC的领先供应商,我们的产品设计广泛应用于遍布世界各地的便携式消费电子产品中。因此,我们的设计团队需要易于使用而成熟的压缩解决方案,
  • 关键字: DFT  Synopsys  测量  测试  珠海炬力  测试测量  

Synopsys扩展VMM方法以实现更高功能性验证的生产效率

  •  Synopsys宣布应用其扩展的业界领先的VMM方法,帮助产品开发团队更有效地定义、测量并实现他们的验证目标。新一代VMM解决方案可通过三个新的部分实现更高的验证生产效率,即VMM Planner、VMM Applications和VMM Automation。VMM Planner有助于经理们系统地计划和跟踪验证进展,提高验证的可视性和可预测性;VMM Applications有助于架构师迅速构建有效的验证环境,缩短测试工作台的创建时间;VMM&nbs
  • 关键字: Synopsys  VMM方法  单片机  嵌入式系统  生产效率  
共170条 10/12 |‹ « 3 4 5 6 7 8 9 10 11 12 »

synopsys介绍

Synopsys公司(Nasdaq: SNPS)是为全球集成电路设计提供电子设计自动化(EDA)软件工具的主导企业。为全球电子市场提供技术先进的IC设计与验证平台,致力于复杂的芯片上系统(SoCs)的开发。同时,Synopsys公司还提供知识产权和设计服务,为客户简化设计过程,提高产品上市速度。 Synopsys公司总部设在美国加利福尼亚州Mountain View,有超过60家分公司分布在北美 [ 查看详细 ]

相关主题

热门主题

(Synopsys)    树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473