关 闭

新闻中心

EEPW首页 > 工控自动化 > 设计应用 > ROM初始化中基于FPGA的mif文件创建使用

ROM初始化中基于FPGA的mif文件创建使用

作者:时间:2008-09-22来源:网络收藏

  在一些需要特殊运算的应用电路中,只读存储器是关键元件,设计人员通常利用创建各种,从而简化电路设计,提高电路的处理速度和稳定性。FPGA是基于SRAM的。掉电后FPGA上的配置信息将全部丢失,所以由FPGA构造的数字系统在每次上电后要依赖于外部存储器来主动配置或在线被动配置。真正意义上的应具有掉电后信息不丢失的特性,因此利用的ROM只能认为器件处于用户状态时具备ROM功能。使用时不必要刻意划分,而ROM单元的初始化则是设计人员必须面对的问题。本文讨论FPGA的ROM初始化问题,详细介绍mit文件的创建与使用。

  2 基于FPGA的ROM的实现

  基于MAX+PLUSⅡ软件平台,FPGA实现ROM功能比较简单。只需运行MAX+PLUSⅡ,选择图形输入,在新建页的空白处双击并在弹出的对话框中选择d:\maxplus2\max2lib\mega_,调用软件提供的参数可调库(mega_),找出参数化ROM宏模块(_rom),如图1所示。表1列出lpm_ROM宏模块的端口及参数设置。

  

  

  根据需要选择必要的Address[]、q[]两个端口创建加法运算的。引入lpm_ROM宏模块后,一定要把LPM_ADDRESS_CONTROL设置为″UNREGISTERED″,否则编译报错。本系统设计选用了Altera公司的FLEX10K系列的FPGA(EPF10K10LC84-4),其模块结构如图2所示。

  

  引入lpm_ROM宏模块后,开始ROM的初始化,这是运用lpm_ROM宏模块做为系统开发的关键。ROM初始化就是要在对应的地址赋初始值以实现的功能。在系统编译之前一定要先设置LPM_FILE参数。实际上就是要加入一个文件或。以下详细讨论在MAX+PLUSⅡ环境下文件的创建和使用。

  3 lpm_ROM初始化及文件

  3.1 mif文件的格式及创建

  3.1.1 mif

  mif文件是在编译和仿真过程中作为存储器(ROM或RAM)初始化输入的文件,即memory initialization file。mif为:

  

  3.1.2 mif文件创建

  mif文件的创建很简单,主要有两种方法,一种是在MAX+PLUSⅡ环境下,新建文件,选文本输入,保存为mif文件。另一种方法是建立一个txt文件,然后将扩展名改成mif即可。

  3.2 mif文件的使用

  依上述方法创建的mif文件只是一个空文件,在lpm_ROM宏模块的LPM_FILE中引入这样的文件,仅仅能帮助lpm_ROM宏模块通过编译并把所有的存储单元初始化为零。且在编译出现:Warning:Can't find data in initial memory content[MIF/HEX]file。

  mif文件的格式是固定的,对于前4行(DEPTH,WIDTH,ADDRESS_RADIX,DATA_RADIX),前2个参数应与lpm_ROM宏模块LPM_WIDTHAD和LPM_WIDTH相关联,后2个参数为了方便一般设置为DEC(十进制)。关键是文件内容的begin与end之间的部分。mif文件的使用就是修改begin与end之间的内容。主要有两种

  3.2.1 mif文件的1

  mif文件的使用,即修改begin与end之间的内容,最常用的就是高级语言法。本文借助实例给以说明,并给出相应的m(Matlab)语言程序。

  设计要求:8位地址输入,8位数据输出,输出数等于地址高4位对应的数加低4位对应的数,即实现1个4位二进制加法的查找表。这里只用到lpm_ROM宏模块的Address[]、q []两个端口。lpm_ROM宏模块及mif如图3所示。

  

  采用产生含有begin与end之间内容的txt文件,M文件的内容为:

  保存并运行,然后打开aaa.txt文件(默认路径C:\MATLAB701\aaa.txt),拷贝到mif文件的begin与end之间,即完成了对该文件的修改。使用高级语言修改mif文件速度快,准确度高且能实现复杂运算。适用于数据较多的场合。

  mif文件的2是在MAX+PLUSⅡ环境下,直接修改lpm_ROM存储器的各存储单元的内容。步骤如下:先引入lpm_ROM宏模块,新建aa.mif文件并加载到LPM_FILE中,编译完成后,波形编辑,然后仿真。此时MAX+PLUSⅡ会增加一个initialize菜单,点击initialize->initialize memory…,即可在弹出的对话框中编辑存储器各单元内容,如图4所示。

  

  各存储单元输入后,点击Export File…,在弹出的对话框中确定要输出的mif文件名,即完成了对mif文件的修改。这种方法适合数据量较小的场合,比较简单直观。

  以四位二进制加法查找表为例,分别采用以上两种方法对mif文件进行修改,仿真如下图5所示。

  

  仿真显示,两种方式下均正确实现了四位二进制加法查找表。

  4 结束语

  本文详细讨论了基于FPGA的mif文件创建与使用,对于mif文件创建与使用均给出了两种可行性的方法。mif文件具有固定格式,而对mif文件使用主要就是对mif。文件begin与end之间的内容进行修改。本文以四位二进制加法查找表的实现为例,给出了m(Matlab)语言源程序。

fpga相关文章:fpga是什么


存储器相关文章:存储器原理




评论


相关推荐

技术专区

关闭