新闻中心

EEPW首页 > 消费电子 > 设计应用 > VHDL语言为核心的EDA技术在医学中的应用

VHDL语言为核心的EDA技术在医学中的应用

作者:时间:2010-06-03来源:网络收藏

0 引言
超高速集成电路硬件描述是随着集成电路系统化和高度集成化逐步发展起来的,是一种用于数字系统设计、测试,面向多领域、多层次的IEEE标准硬件描述。它从20世纪70年代作为电路设计工具诞生于美国国防部至今,已经成为十分流行的硬件描述工具,并且为大多数工具所支持。随着电子的不断进步,数字系统的设计正朝着高速度、大容量、小体积的方向发展。传统的自底而上的模式已不能满足芯片和系统的设计要求。为了提高设计效率,能够简化设计流程,大幅降低设计难度的设计方法受到广泛关注。与其他传统集成电路描述相比,具有明显优势:
(1)功能强大,描述力强。可用于门级、电路级甚至系统级的描述、仿真和设计。
(2)可移植性好。对于设计和仿真工具及不同的平台均可采用相同的描述。
(3)研制周期短,成本低。
(4)可以延长设计的生命周期。
(5)具有电路仿真与验证功能,用户甚至不必编写相量测试即可进行源代码级调试。设计者能够跳过电路实验,直接对各种方案进行比较和选择,使设计效率得以提高。
(6)对设计的描述具有相对独立性。
(7)语言标准、规范,易于共享和复用。
目前,VHDL渗透了电子及其相关的各个工业领域,在工业设计中发挥着日益重要的作用。在世界范围内,关于VHDL在多个领域尤其在芯片,系统设计方面的研究已经取得众多瞩目成果。
而将VHDL与相结合,势必成为电子自动化设计()一个全新的研究方向,本文主要研究将通过VHDL,以对脉搏的测量为例,以实现数字系统对人体多种生理活动及生理反应的直观精确测量。

1 实验设计
1.1 EDA教学中脉搏测量中的探讨

在临床诊断,护理学等中介绍脉搏的测量时认为脉搏很容易在手腕掌面外侧跳动的桡动脉上摸到,也可测量颈部的颈动脉或腹股沟的股动脉。其测量方法是病员手臂放于舒适位置,用食指,中指,无名指的指腹端按压在桡动脉表面,一般病员默数半分钟。将所测的脉率乘以2便是一分钟的脉数,异常病人测一分钟。成年人的脉搏在安静状态下每分钟是60~80次。如少于60次是心动过缓。但训练有素的运动员,脉搏有时也在60次以下,这正是心脏健康有力的表现。如超过100次是心动过速。体力活动或情绪激动时,脉搏可暂时增快,发烧时脉搏也增快。一般是体温每升高1℃,脉搏就增加10~20次。此法只能粗略计算脉搏跳动。如将VHDL语言应用其中,便可以通过EDA实验箱中的七段数码管直观准确地看到一分钟的计数结果,并与之前通过医学教材讲授方法测出结果相比对,从而判定测量的正确与否。
1.2 设计思路
将脉搏信号通过脉搏传感器采集进入计算机,而后作为输入信号,而此信号作为脉冲信号,即如时钟信号一般,当输入时计数器开始计数,如下面设计一个十进制计数器的VHDL描述便可用来对所采集的脉搏信号计数。
1.3 VHDL设计流程
VHDL流程设计如图1所示。

本文引用地址:http://www.eepw.com.cn/article/166699.htm


现在,计算机辅助工程软件的供应商已把日益通用的硬件描述语言VHDL作为其CAD或EDA软件输入与输出的标准,其中ALTEKA公司提供的综合工具Max+PlusⅡ,具有全面的逻辑设计能力,从编辑、综合、布线到仿真、下载都十分方便。

2 设计方案与结果分析
VHDL语言设计十进制计数器的源程序:



上一页 1 2 下一页

评论


相关推荐

技术专区

关闭