新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 基于Matlab的Turbo码仿真研究

基于Matlab的Turbo码仿真研究

作者:时间:2011-07-06来源:网络收藏

摘 要:为了使更容易,并建立了中Simulink通信模块的模型。码编码器采用两个相同的分量编码器通过交织器并行级联而成。Turbo码译码器采用不同的译码算法,这些算法由s函数调用m文件实现。使用所建立的模型进行,结果表明,在信噪比相同的情况下,交织长度越大、迭代次数越多、译码算法越优,Turbo码性能越好。设计实际系统时,应综合考虑各因素。
关键词:Turbo码;Simulink仿真;交织长度;迭代次数;译码算法


0 引 言
Shannon编码定理指出:如果采用足够长的随机编码,就能逼近Shannon信道容量。而Turbo码以其接近Shannon理论极限的译码性能,已被采纳为3G移动通信系统的信道编码标准之一。Turbo码巧妙地将两个简单分量码通过伪随机交织器并行级联来构造具有伪随机特性的长码,并通过在两个软输入/软输出(SISO)译码器之间进行多次迭代实现了伪随机译码。采用迭代译码的方法来提高通信系统的译码性能是Turbo码的最大特点。
Turbo码的编码器、译码器结构繁琐,是一种非常复杂的信道编码方案,这使得对Turho码的理论分析十分困难,且只能对运算复杂度作宏观分析,对Turbo码的具体实现并没有一个清楚的度量。因此,使用计算机对Turbo码进行仿真分析是十分必要的。
本文分析了Turbo码编码译码的原理,考虑到Turbo码系统编译码的数据处理量很大,利用生成矩阵对信息序列进行编码、译码时的迭代计算等等,都涉及了矩阵运算,故采用/Sireulink来进行建模仿真,同时分析了迭代次数、交织长度及不同译码算法对Turbo码性能的影响。


l Turbo码的编码器和译码器原理
1.1 Turbo码编码器组成
Turbo码的编码器的基本结构如图1所示。





Turbo码编码器主要由两个递归系统卷积编码器(RSC)、一个交织器与一个删余和复用单元组成。递归系统卷积编码器是指带有反馈的系统卷积编码器,其码率可设为R=k/n;交织器用来改变信息序列的排列顺序,获得与原始信息序列内容相同,但排列不同的信息序列;删余和复用单元的作用是从总体上改善Turbo码码率,因此通过删余和复用单元,Turbo码可以获得不同码率的码字。编码器的码字通过信道输出到译码器内。
1.2 Turbo码译码器原理
Turbo码译码器基本结构如图2所示。


锁相环相关文章:锁相环原理

上一页 1 2 下一页

评论


相关推荐

技术专区

关闭