新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 如何设计一个稳定可靠的状态机

如何设计一个稳定可靠的状态机

作者:时间:2012-07-12来源:网络收藏

随着大规模和超大规模FPGA/CPLD器件的诞生和发展,以HDL(硬件描述语言)为工具、FPGA/CPLD器件为载体的EDA技术的应用越来越广泛.从小型电子系统到大规模SOC(Systemonachip),已经无处不在.在FPGA/CPLD中,机是最典型、应用最广泛的时序电路模块,机是我们必须面对的问题.

本文引用地址:http://www.eepw.com.cn/article/148786.htm

  1、机的特点和常见问题

  标准状态机分为摩尔(Moore)状态机和米立(Mealy)状态机两类.Moore状态机的输出仅与当前状态值有关,且只在时钟边沿到来时才会有状态变化.Mealy状态机的输出不仅与当前状态值有关,而且与当前输入值有关,这一特点使其控制和输出更加灵活,但同时也增加了设计复杂程度.其原理如图1所示.

  


  根据图1所示,很容易理解状态机的结构.但是为什么要使用状态机而不使用一般时序电路呢?这是因为它具有一些一般时序电路无法比拟的优点.

  用VHDL描述的状态机结构分明,易读,易懂,易排错;

  相对其它时序电路而言,状态机更加,运行模式类似于CPU,易于实现顺序控制等.

  用VHDL语言描述状态机属于一种高层次建模,结果经常出现一些出乎设计者意外的情况:

  在两个状态转换时,出现过渡状态.

  在运行过程中,进入非法状态.

  在一种器件上综合出理想结果,移植到另一器件上时,不能得到与之相符的结果.

  状态机能够工作,但占用逻辑资源过多.

  在针对FPGA器件综合时,这种情况出现的可能性更大.我们必须慎重设计状态机,分析状态机内在结构,在Moore状态机中输出信号是当前状态值的译码,当状态寄存器的状态值稳定时,输出也随之稳定了.经综合器综合后一般生成以触发器为核心的状态寄存电路,其稳定性由此决定.如果CLOCK信号的上升沿到达各触发器的时间严格一致的话,状态值也会严格按照设计要求在规定的状态值之间转换.然而这只是一种理想情况,实际CPLD/FPGA器件一般无法满足这种苛刻的时序要求,特别是在布线后这些触发器相距较远时,CLOCK到达各触发器的延时往往有一些差异.这种差异将直接导致状态机在状态转换时产生过渡状态,当这种延时进一步加大时,将有可能导致状态机进入非法状态.这就是Moore状态机的失效机理.对于Mealy状态机而言,由于其任何时刻的输出与输入有关,这种情况就更常见了.

  2 状态机设计方案比较

  2.1 采用枚举数据类型定义状态值

  在设计中定义状态机的状态值为枚举数据类型,综合器一般把它表示为二进制数的序列,综合后生成以触发器为核心的状态寄存电路,寄存器用量会减少,其综合效率和电路速度将会在一定程度上得到提高.

  例1 定义状态值为枚举类型的状态机VHDL程序.

  library ieee;

  use ieee.std_logic_1164 all;

  entity example is

  port(clk:in std_logic;

  mach_input:in std_logic;

  mach_outputs:out std_logic_vector(0 to 1));

  end example;

  architecture behave of example is

  type states is(st0,st1,st2,st3); --定义states为枚举类型

  signal current_state,next_state:states;

  begin

  state_change:process(clk) --状态改变进程

  begin


上一页 1 2 3 下一页

评论


相关推荐

技术专区

关闭