新闻中心

EEPW首页 > EDA/PCB > 市场分析 > 熬出头的CoWoS

熬出头的CoWoS

作者:时间:2023-10-09来源:半导体产业纵横收藏

据中国台湾媒体报道,正在扩大 产能,以满足客户,尤其是 AI 芯片领域的需求。英伟达等大客户增加了对 封装的订单量,AMD、亚马逊等其他大厂也出现了紧急订单。为了满足这些需求,已要求设备供应商增加 机台的生产数量,并计划在明年上半年完成交付和安装。

本文引用地址:http://www.eepw.com.cn/article/202310/451305.htm

9 月底,传出消息再次追加 30% 半导体设备订单,带动联电、日月光投控等 CoWoS 中介层供应商接单量,并传出后者要涨价的消息。

CoWoS 也曾「煎熬」

CoWoS 是台积电独门技术,2012 年即推出。不过,由于成本昂贵,因而推出后除了赛灵思等少数客户采用,之后便乏人问津。

不过随着 AI 热潮引爆,台积电 CoWoS 封装技术也熬出头,产能大爆发。台积电总裁魏哲家在本月 20 日法说会上坦言,AI 相关需求增加,预测未来五年内将以接近 50%的年平均成长率成长,并占台积电营收约 1 成,台积电也决定将资本支出中加重在 CoWoS 产能的建置,且是越快越好(As quickly as possible)!

随着 ChatGPT 横空出世,生成式 AI 红遍全球,带动 AI 芯片的需求强劲,英伟达(NVIDIA)的 H100、A100 全部由台积电代工,并使用台积电的 CoWoS 先进封装技术,除了英伟达外,AMD MI300 也导入 CoWoS 技术,造成 CoWoS 产能供不应求。

为什么是 CoWoS?

CoWoS 可以将 CPU、GPU、DRAM 等各式芯片以并排方式(side-by-side)堆叠,有节省空间、减少功耗的优势;另外,因为 CoWoS 能将不同制程的芯片封装在一起,可达到加速运算但同时控制成本的目的,适用于 AI 、GPU 等高速运算芯片封装。

相较于传统的芯片封装技术,CoWoS 技术有以下几个优势:

高度密集:此技术可以使多个芯片在一个封装中实现高度集成,从而可以在更小的空间内提供更强大的功能。在需求高度集成的行业(例如互联网、5G、人工智能等)中得到广泛应用。

高速和高可靠性:由于芯片与晶圆直接相连,从而可以提高信号传输速度和可靠性。同时,此技术还可以有效地缩短电子器件的信号传输距离,从而减少传输时延和能量损失。

高性价比:CoWoS 技术可以降低芯片的制造成本和封装成本,因为它可以避免传统封装技术中的繁琐步骤(例如铜线缠绕、耗材成本高等),从而可以提高生产效率和降低成本。

CoWoS 技术是目前 HBM 与 CPU/GPU 处理器集成的主流方案。HBM 的高焊盘数和短迹线长度要求需要 2.5D 先进封装技术,目前几乎所有的 HBM 系统都封装在 CoWoS 上,而高端 AI 服务器基本都使用 HBM,因此几乎所有领先的数据中心 GPU 都是台积电封装在 CoWos 上的。

高端芯片走向多个小芯片、内存,堆叠成为必然发展趋势,CoWoS 封装技术应用的领域广泛,包含高效能运算 HPC、AI 人工智能、数据中心、5G、物联网、车用电子等等,可以说在未来的各大趋势,CoWoS 封装技术会扮演着相当重要的地位。

涨价和扩产进程

今年是 AI 爆火的一年。随着 ChatGPT 横空出世,生成式 AI 红遍全球,带动 AI 芯片的需求强劲,英伟达的 H100、A100 全部由台积电代工,并使用台积电的 CoWoS 先进封装技术,除了英伟达外,AMD MI300 也导入 CoWoS 技术,造成 CoWoS 产能供不应求

6 月份,台积电宣布计划扩充 CoWoS 封装产能,预计下半年月产能将增加 3000 片。此举旨在满足全球电子产品和芯片市场的旺盛需求,同时提升公司在全球半导体市场的竞争力。

7 月,台积电董事长刘德音表示,台积电自有先进封装产能去年迄今几乎翻倍增长,今年到明年若又要翻倍,「确实是挑战」。为应对明年先进封装的 CoWoS 产能扩产,甚至把一些 InFO 产能挪到南科去,台积电希望能在龙潭扩张 CoWoS 产能,很多计划都会积极推动,希望应对客户即时需求。台积电也在近期的法说会上称,当前 AI 芯片相关产能瓶颈主要集中在后端的 CoWoS 环节,台积电正在与客户紧密合作扩张产能,预计 CoWoS 的产能紧张将于 2024 年底得到缓解,2024 年的 CoWoS 产能将达到 2023 年水平的约两倍。为了应对产能不足问题,台积电宣布规划斥资近 900 亿元新台币,在中国台湾竹科铜锣科学园区设先进封装晶圆厂。经过两个月的跨部门协商,竹科管理局日前正式发函,同意台积电取得竹科铜锣园区约 7 公顷土地。新工厂预计 2026 年底建成,2027 年第三季度开始量产。

8 月摩根大通指出,AI 需求下半年持续强劲,台积电 CoWoS 产能扩张进度将超出预期,明年底前产能翻扬至每月 2.8 万~3 万片,并将在 2024 年下半年明显加速。同时,非台积电阵营的类 CoWoS 产能,也都在积极扩张中。摩根大通估计,英伟达 2023 年占整体 CoWoS 需求量的六成,台积电约可生产 180 万~190 万套 H100 芯片,接下来需求量较大的则是博通、亚马逊网络服务的 Inferentia 芯片与赛灵思。放眼 2024 年,因台积电产能持续扩张,可供应英伟达所需的 H100 芯片数量上看 410 万~420 万套。

9 月,又有消息传出台积电正在着手将急单的 CoWoS 价格提高 20%,这也暗示着,困扰整个产业链已久的 CoWoS 产能瓶颈有望得到缓解。

分这块蛋糕的不止有台积电。英特尔副总裁兼亚太区总经理 Steven Long 8 月下旬表示,英特尔正在马来西亚槟城兴建封测厂,强化 2.5D/3D 封装布局。这将是继英特尔新墨西哥州及奥勒冈厂之后,首座在美国之外采用英特尔 Foveros 先进封装架构的 3D 封装厂。

而英特尔副总裁 Robin Martin 受访时也透露,未来槟城新厂将成为公司最大的 3D 先进封装据点。除了槟城的 3D 封测厂之外,英特尔还将在马来西亚另一居林高科技园区兴建另一座组装测试厂。全部完工后,英特尔在马来西亚的封测厂将增至六座。

英特尔的先进封装包括 2.5D EMIB 与 3D Foveros 方案。

公司并未透露现阶段其 3D Foveros 封装的总产能,但其表示,美国奥勒冈州厂、新墨西哥州及槟城新厂三厂叠加,公司 2025 年的 3D 封装产能将是目前水平的 4 倍。英特尔在两年前已宣布,计划投资 35 亿美元,扩充新墨西哥州的先进封装产能,目前建厂仍在进行中。英特尔没有进一步透露槟城新厂的确切落成时程,外界预估,该厂将于 2024 年底或 2025 年初正式启用。

CoWoS 给英伟达撑腰,也彻底带火了 2.5D、3D 封装。

联电最近也在先进封装方面频频发力。根据该公司官网资料,联电是全球首个提供硅中介层制造开放解决方案的代工厂,即通过联电+OSAT 的合作模式,由联电完成前段 2.5D TSI 硅中介层晶圆(FEoL+TSV+FS RDL),然后交由封测厂完成中段 MEoL 和后段 BEoL 工序。

在 7 月 28 日的日月光法说会上,该公司已经证实,正在与代工厂合作中介层相关技术,并具备 CoWoS 整套制程的完整解决方案,预计今年下半年或明年初量产。

近日业界传出,联电已针对超急件的中介层订单调涨价格,并启动产能倍增计划应对客户需求,日月光先进封装报价也可能涨价。

CoWoS 的瓶颈:能笑到最后?

容量问题是英伟达在使用台积电 CoWoS 的第一瓶颈。HBM 和 CoWoS 是互补的。HBM 的高焊盘数和短走线长度要求需要 CoWoS 等 2.5D 先进封装技术来实现 PCB 甚至封装基板上无法实现的密集、短连接。CoWoS 是主流封装技术,能够以合理的成本提供最高的互连密度和最大的封装尺寸。由于目前几乎所有 HBM 系统都封装在 CoWoS 上,并且所有高级 AI 加速器都使用 HBM,因此,几乎所有领先的数据中心 GPU 都由台积电在 CoWoS 上封装。

虽然台积电的 SoIC 等 3D 封装技术可以将芯片直接堆叠在逻辑之上,但由于散热和成本的原因,这对于 HBM 来说没有意义。SoIC 在互连密度方面处于不同的数量级,并且更适合通过芯片堆叠扩展片上缓存,如 AMD 的 3DV-Cache 解决方案所示。AMD 的赛灵思也是多年前 CoWoS 的第一批用户,用于将多个 FPGA 小芯片组合在一起。

虽然还有一些其他应用程序使用 CoWoS,例如网络 (其中一些用于网络 GPU 集群,如 Broadcom 的 Jericho3-AI)、超级计算和 FPGA,但绝大多数 CoWoS 需求来自人工智能。与半导体供应链的其他部分不同,其他主要终端市场的疲软意味着有足够的闲置空间来吸收 GPU 需求的巨大增长,CoWoS 和 HBM 已经是大多数面向人工智能的技术,因此所有闲置空间已在第一季度被吸收。随着 GPU 需求的爆炸式增长,供应链中的这些部分无法跟上并成为 GPU 供应的瓶颈。



评论


相关推荐

技术专区

关闭