新闻中心

EEPW首页 > 嵌入式系统 > 新品快递 > Custom Compiler开拓视觉辅助自动化新纪元

Custom Compiler开拓视觉辅助自动化新纪元

作者:时间:2016-04-15来源:电子产品世界收藏

  科技(Synopsys, Inc.)日前发布全新定制设计解决方案Custom Compiler™。Custom Compiler™将定制设计任务时间由数天缩短至数小时,消弭了FinFET的生产力差距。为了将FinFET版图生产力提升到新的高度,Synopsys采用了新颖的定制设计方法,即开发视觉辅助自动化技术,从而提高普通设计任务的速度,降低迭代次数并支持复用。通过与行业领先的客户的密切合作,Custom Compiler已经在最先进的节点上进行生产工作,并通过行业领先的工厂获得了FinFET工艺技术的支持(参看今天新闻辅稿)。许多Custom Compiler用户将于今天在圣塔克拉拉会议中心开幕的硅谷Synopsys用户群大会上分享各自的经验。

本文引用地址:http://www.eepw.com.cn/article/201604/289768.htm

  Synopsys设计部总经理和执行副总裁Antun Domic表示:“设计复杂性呈指数级增长,而传统的定制设计工具难以追上这种增长的脚步。尤其是,FinFET设计规则的数量和复杂性不断增长,为版图设计人员制造了大量挑战。Custom Compiler的创新辅助功能可以帮助设计人员解决最困难的版图挑战,同时大幅提高FinFET设计的生产力。”

  视觉辅助自动化

  Custom Compiler Assistants可提高生产力,它利用版图设计人员所熟悉的图形使用模式,无需编写复杂代码和约束条件,无需额外设置,Custom Compiler即可自动处理日常和重复性工作。Custom Compiler提供了四种辅助功能:Layout、In-Design、Template和Co-Design。

  · Layout Assistants通过可视觉引导的自动布局及绕线提高了设计速度。该款绕线器是连接FinFET阵列和大型M型晶体管的首选。它可以自动克隆连接并创建 pin tap。用户仅使用鼠标就可引导绕线器,由Custom Compiler自动完成绕线细节。设计人员可以使用创新方法进行器件布局。该方法允许用户持续优化,在提供布局选择的同时使版图设计人员能够完全控制结果,无需预先输入任何文本约束条件。

  · In-Design Assistants通过在验收验证前捕捉物理和电气错误,降低成本高昂的设计迭代次数。Custom Compiler包括速度极快并始终保持激活状态的嵌入式设计规则检查(DRC)引擎。另外,Custom Compiler还内建电迁移检查以及电阻和电容提取引擎。与其他“电感知”工具不同,Custom Compiler的提取功能基于Synopsys黄金标准的StarRC™内核。

  · Template Assistants帮助设计人员复用现有知识累积,使之轻松将之前的版图决策用于新的设计。Template Assistants实际上可以通过Layout Assistants的布局器和绕线器从已完成的工作中自动学习知识。Template Assistants智能识别与先前完成的电路类似的电路,并支持用户将相同的版图和绕线模式当作模板用于新的电路。Custom Compiler出厂时加载了一套内置常用电路模板,如电流镜、电平位移器和差分对。

  · Co-Design Assistants将IC Compiler™和Custom Compiler合并为统一的定制和数字实现解决方案。用户可以自由地在Custom Compiler与 IC Compiler之间来回切换,使用各自的指令持续完成自己的设计。利用Co-Design Assistants,IC Compiler用户可以在任何实现阶段对其数字设计执行全定制编辑。同样地,Custom Compiler用户可以利用IC Compiler在自己的定制设计中实施数字实现流程。Co-Design Assistants的无损多次往返功能可确保跨所有数字和定制数据库同步所有变更。

  Synopsys解决方案部总经理和执行副总裁Joachim Kunkel表示:“作为仿真/混合信号半导体IP行业的领导者,我们团队很早就看到了工厂工艺开发周期中的FinFET相关设计挑战。我们要求Custom Compiler开发团队专注提高FinFET版图生产力,因为从标准单元到高性能SerDes的大量IP开发项目中,我们发现版图工作量大幅增加。Custom Compiler的Layout Assistants使我们能够实施新颖的版图方法,将众多版图任务的时间从数小时缩减到几分钟。”

  全面的定制解决方案现已发售

  Custom Compiler基于行业标准Open Access数据库,提供包括电路图、模拟分析与版图的开放环境。Custom Compiler结合Synopsys的电路仿真、物理验证以及数字实施工具,提供了一种全面的定制设计解决方案。欲了解更多关于Custom Compiler信息,请访问XXX.com。



关键词: 新思 Custom Compiler

评论


相关推荐

技术专区

关闭