首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> lvds&ttl

lvds&ttl 文章 进入lvds&ttl技术社区

低电压差分信号传输(LVDS)在汽车电子中的应用

  • 低电压差分信号传输(LVDS)已经在众多应用中得到验证,LVDS在传送高数据率信号的同时还具有其它优势: 与低电源电压的兼容性;低功耗;低辐射;高抗干扰性;简单的布线和终端匹配。

    LVDS为差分模式(图1),这种模式
  • 关键字: LVDS  低电压  差分信号  传输    

单片TTL触摸式开关电路图

  • 这里只用一片集成电路块T065的触摸式开关。它小巧,功耗较低,适宜给晶体管收音机之类的小电流用电器作无触点开关。下图中为工作原理图。YF3和YF4以及C3、R3组成单稳态触发器。这种电路只有一个稳定状态。外加触发信
  • 关键字: 电路图  开关  触摸式  TTL  单片  

采用LVDS高速串行总线技术的传输方案

  • 引言   

    在某型雷达信号处理系统中,要求由上位机(普通PC)实时监控雷达系统状态并采集信号处理机的关键变量,这就要求在处理机与上位机之间建立实时可靠的连接。同时,上位机也能对信号处理板进行控制,完成诸如
  • 关键字: 技术  传输  方案  总线  串行  LVDS  高速  采用  

TTL或CMOS集电极开路输出的功耗

  • 用来计算TTL集电极开路输出电路静态功耗的公式如下:其中:VT=上拉电阻的有效端接电压
    R=端接电阻的有效值
    VHI=高电平输出(通常等于VT)
    VLO=低电平输出
    VEE=输出晶体管的射极(或源极
  • 关键字: CMOS  TTL  集电极开路  功耗    

基于LVDS技术的实时图像测试装置的设计

  • 针对弹载图像采集设备与地面测试台之间大量实时图像数据高速传输的问题,提出了采用LVDS技术与FPGA相结合的解决方案,详细介绍了实时图像数据传输部分的硬件组成及工作原理。实验结果表明,该方案的数据传输速度达到20 MB/s,很好地满足了实时图像数据发送和接收的速度要求。
  • 关键字: LVDS  实时图像  测试装置    

Maxim推出带有LVDS系统接口的串行器

  •   Maxim为其高速LVDS串行器产品线增添新成员:带有LVDS系统接口的串行器MAX9249。该串行器与MAX9260解串器配合使用,构成吉比特多媒体芯片组。芯片组通过一对直流平衡的双绞线或差分线构成完备的双向数字视频链路。Maxim专有的差分、全双工控制通道无需外部CAN或LIN接口,在简化设计的同时可有效减小方案尺寸、降低成本。该方案支持与显示模块的双向通信,并可通过UART/I²C接口逐帧设置摄像模式。MAX9249/MAX9260芯片组理想用于导航、信号识别、防撞系统、夜视系统、车道
  • 关键字: Maxim  LVDS  串行器  

通过低电压差分信号(LVDS)传输高速信号

  • 低电压差分信号(LVDS)非常适合时钟分配、一点到多点之间的信号传输。本文描述了使用LVDS将高速信号分配到多个目的端的方法。 在一个数字系统中,当各个子系统需要相同的参考时钟源协同工作时,时钟分配非常重要
  • 关键字: 信号  传输  高速  LVDS  通过  差分  电压  

用电容实现LVDS连接交流耦合的设计分析

  • LVDS(低压差分信号)是物理层数据接口标准,由TIA/EIA-64和IEEE 1596.3标准定义,主要为在平衡阻抗可控的100Ω介质上实现高速、低功耗和低噪声点对点通信而设计。与其它差分信号标准一样,LVDS由于消除了电磁辐射,它
  • 关键字: 耦合  设计  分析  交流  连接  实现  LVDS  用电  耦合  

TTL与非门电路及TTL与非门的技术参数

  • 基本TTL反相器不难改变成为多输入端的与非门 。它的主要特点是在电路的输入端采用了多发射极的BJT ,如下图所示。器件中的每一个发射极能各自独立地形成正向偏置的发射结 ,并可促使BJT进人放大或饱和区。两个或多个发射极可以并联地构成一大面积的组合发射极。
  • 关键字: TTL  与非门电路  与非门  技术参数    

基于LVDS接口的PC M解码板设计

  • 数字量变换器是一种多路数据采集设备,主要采集各传感器的输出信号(及其他需经遥测系统传送的信号),将各路信号按一定体制组合起来并加上帧同步码形成一定格式的PCM数据,互不干扰地通过同一个信道传送出去。
  • 关键字: 解码  设计  PC  接口  LVDS  基于   LVDS总线  PCM码  解调  

多路串行LVDS信号转发电路 的设计与实现

基于FPGA的远程图像采集系统设计

  • 本文介绍了一种基于FPGA实现的图像采集系统,通过FPGA控制外部高速成像设备所产生的图像数据、参数信息和状态控制信号的同步采集,并实现数据格式的转换、图像数据的组帧、存储及转发功能。
  • 关键字: FPGA  图像采集  组帧状态机  LVDS  200911  

简易USB与LVDS接口转换器

  • 1 引言
    通用串行总线USB(Universal Serial Bus)接口以其通信速率快,USB2.0协议速率达480 Mb/s,支持热插拔的特点得到广泛应用,缓解日益增加的PC外设与有限的主板插槽和端口之间的矛盾;而低压差分信号LVDS(
  • 关键字: 转换器  接口  LVDS  USB  简易  USB,低功耗  

TI 推出可直接与供电处理器连接的 LVDS 串行器

  •   日前,德州仪器 (TI) 宣布推出首款可直接与 1.8V 供电处理器连接的 LVDS 串行器。SN75LVDS83B 采用 TI FlatLink 技术,无需使用 1.8V 及 2.5V 逻辑接口所需的高成本电平转换器,从而不仅可显著降低成本,而且还可将板级空间缩减达 83%。SN75LVDS83B 支持 8 位色彩,并可串行化 RGB 数据。此外,该器件还在一个 LVDS 时钟以及 4 个 LVDS 数据对 (data pair) 中高度整合了 24 条数据线,从而实现了与 LCD 模块的连接。
  • 关键字: TI  FlatLink  LVDS  串行器  SN75LVDS83B  
共171条 9/12 |‹ « 3 4 5 6 7 8 9 10 11 12 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473