新闻中心

EEPW首页 > EDA/PCB > 业界动态 > ASML:数值孔径0.75超高NA EUV光刻设备2030年登场

ASML:数值孔径0.75超高NA EUV光刻设备2030年登场

作者:时间:2023-06-26来源:全球半导体观察收藏

据日本媒体报导,光刻机设备龙头阿斯麦()执行副总裁Christophe Fouquet近日在比利时imec年度盛会ITF World 2023表示,半导体产业需要2030年开发数值孔径0.75的超高 光刻技术,满足半导体发展。

本文引用地址:http://www.eepw.com.cn/article/202306/447943.htm

Christophe Fouquet表示,自2010年以来技术越来越成熟,半导体制程微缩至2020年前后三年,以超过50%幅度前进,不过速度可能会在2030年放缓。

计划年底前发表首台商用High-=0.55)微影曝光设备(原型制作),2025年量产出货。2025年开始,客户就能从数值孔径为0.33传统EUV多重图案化,切换到数值孔径为0.55 High-NA EUV单一图案化,降低制程成本,提高产量。

High-NA EUV预估会有五大客户:英特尔、台积电、三星、SK海力士、美光,可最早使用设备。科林研发、柯磊、HMI和JSR及TEL等正与合作,开发High-NA EUV材料与特用化学品。

Fouquet表示,EUV光源输出功率一直稳步增加,ASML传统型号EUV光源输出功率为250W~300W,最新型号3600D增加到350W,现在研究层面已做到600W,800W指日可待。

到2030年,使用High NA EUV的多重图案将与单一图案一起完成,以提高产量,并降低制程成本,需要更高数值孔径的EUV曝光(NA=0.75)。藉DUV、ArF、EUV和High-NA EUV技术形成图案的每个晶体管成本都不断变化,考量到新技术价格一定高于EUV每套3亿美元,High-NA EUV价格将非常可观,但仍取决于客户要求和开发成本。



关键词: ASML NA EUV 光刻设备

评论


相关推荐

技术专区

关闭