新闻中心

EEPW首页 > 手机与无线通信 > 设计应用 > 5G通信信号处理系统的设计与实现

5G通信信号处理系统的设计与实现

作者:田元锁 张黎明时间:2018-02-27来源:电子产品世界收藏
编者按:5G试点工作进展顺利,预计2020年正式商用,峰值速率将达到每秒10 Gb,针对5G新技术,数据传输技术已成为制约系统方案实现的关键因素,提出的高速数据传输技术,采用高性能FPGA、高位宽、高采样率的AD和DA,进行相关接口优化,验证了5Gbps光纤数据传输,为后续5G通信提供很好的参考测试、验证。

作者 / 田元锁1,2 张黎明1,2 1.中国电子科技集团公司第四十一研究所(安徽 蚌埠 233000) 2.电子信息测量技术安徽省重点实验室(安徽 蚌埠 233000)

本文引用地址:http://www.eepw.com.cn/article/201802/376163.htm

  *基金项目:国家科技重大专项(编号:2016ZX03002002)

  田元锁(1983),男,硕士,工程师,研究方向:移动通信测试。

摘要试点工作进展顺利,预计2020年正式商用,峰值速率将达到每秒10 Gb,针对新技术,数据传输技术已成为制约系统方案实现的关键因素,提出的技术,采用高性能FPGA、高位宽、高采样率的AD和DA,进行相关接口优化,验证了bps光纤数据传输,为后续5G通信提供很好的参考测试、验证。

0 引言

  移动通信网络技术经过多年爆发式增长,经历了2G、3G 和4G,每一代都有一个十年的发展周期[1]。工信部表示2017年5G技术研发进入第二阶段,目前已经在3.4~3.6 GHz频段开展5G系统技术研发试验。相关的5G通信测试仪器仪表必须拥有很高的传输能力和计算能力来处理数据传输。

  与传统移动通信技术不同的是,5G的天线增强、波束成型和3D-MIMO等技术带来更大的困难是设备体积越来越小、集成度越来越高。仪器仪表产业链如何加大技术创新应对这些新的挑战,是5G商用进展的关键。测试仪器仪表硬件性能指标,成为制约其信息处理能力的关键因素,随着元器件性能的不断提升,对于仪器仪表也带来了质的飞跃,所以性能指标的高低决定了仪器仪表的核心处理能力 [2-5],本文采用高性能FPGA、高采样率的AD和DA,进行了相关接口优化,验证了5 Gbps光纤数据传输。

1 5G通信系统

1.1 系统硬件构成

  数据传输系统受制于其硬件处理能力[6-9],5G通信高速数据传输系统硬件平台主要由独立本振模块、高性能AD/DA模块、高性能FPGA+DSP+CPU模块等组成。图1为5G通信高速数据传输系统系统框图,设计采用模块化设计方法,在统一硬件与软件平台上实现和满足不同的测试,单机能支持目前5G通信测试,也可以向下兼容多种通信制式测试,研究具有稳定性高、一致性好、可扩展性强等特点的高速数据传输系统。

   该系统的难点在于:

  1)在大带宽情况下如何保证信号的频率响应、群时延特性和带外抑制设计指标;

  2)将中频信号无差别的解调为IQ数据流精确的设计实现;

  3)5G通信的带宽要求更高的中频以及采样速率,如何保证在高速采样下的指标和可靠性将是设计的又一挑战。

  FPGA外围电路接口如图2所示,加载模块、DDR、电源、时钟模块、光纤模块等,时钟模块由AD9516提供,为了保持信号时钟与本地时钟一致。FPGA用的是Xilinx公司的XC7VX690T,该芯片GTH最高支持13.1 Gb/s,满足GPRI接口中的9.830 G、6.144 G、3.072 G等速率要求。

1.2 独立本振设计

  本振设计最主要、最困难的是宽带高性能第一本振设计,整机的相噪指标主要取决于第一本振。一般认为,只要在变频时不恶化接收到的通信信号矢量解调指标,就能满足测试需求,通常只需要第一本振的相噪优于-90 dBc/Hz@10 kHz,而对于本系统,因为需要分析多通道信号赋形增益和天线矢量图,所以对相位和时延有严格要求,同时,5G通信有新标准、新技术方案,对射频通路的信号质量也提出了高相噪要求,所以用于变频的第一本振信号的相噪就需要很高的相噪指标,才能不带来额外的测试误差和不确定性,所以本系统要求-133 dBc/Hz@10 kHz的超高相噪指标。

  本模块设计主要难点是高指标的射频模块设计和微波滤波器设计,射频模块的频率范围在400 MHz~6 GHz内变化,兼容目前5G测试频段,本设计兼容其他通信制式,为了不影响OFDMA/SC-FDMA信号的质量及IEEE 802.11 a/b/g/n/ac测试的要求,射频信号带宽将大于160 MHz,带内平坦度小0.5 dB。高速传输系统收发处理模块如图3所示。

2 高速数据传输的实现

2.1 高速数据接收通道设计

  高速数据接收通道的主要功能是将频率范围在400 MHz~6 GHz的射频信号下变频到适合的固定中频频率上,以供宽带中频处理模块和信号分析模块处理。其具体方案如图4所示。射频信号输入首先前置低噪声放大器,提升小信号接收灵敏度,然后经过一个程控步进衰减器,该衰减器主要功能是可根据信号电平调节衰减量,确保满足后端电路处理要求。其后,信号经过一个低通滤波器,滤除测量频率范围以外的干扰信号,以免引起假响应。滤波后的信号进入第一混频器,这里采用了高中频的上变频方案,可有效解决镜像、泄漏等问题,混频后产生第一中频。相应的第一本振需要提供宽带高本振信号,该本振信号经过锁相环与10 MHz频率参考鉴相完成锁定。混频后的信号经过一个带通滤波器,滤除混频器产生的其他杂散信号,同时考虑到需要处理的带宽大于160 MHz,其1 dB带宽设定为320 MHz。经过带通滤波器后的信号进入第二混频器,与固定本振频率信号混频,将第一中频信号下变频到第二中频低中频频率上,经过相关的滤波处理后,再经过一系列杂散抑制、幅度补偿和端口匹配后,输送给信号分析模块。

  射频信号输入首先前置低噪声放大器,提升小信号接收灵敏度,然后经过一个程控步进衰减器,该衰减器主要功能是可根据信号电平调节衰减量,确保满足后端电路处理要求。其后,信号经过一个低通滤波器,滤除测量频率范围以外的干扰信号,以免引起假响应。滤波后的信号进入第一混频器,这里采用了高中频的上变频方案,可有效解决镜像、泄漏等问题,混频后产生第一中频。相应的第一本振需要提供宽带高本振信号,该本振信号经过锁相环与10 MHz频率参考鉴相完成锁定。混频后的信号经过一个带通滤波器,滤除混频器产生的其他杂散信号,同时考虑到需要处理的带宽大于160 MHz,其1 dB带宽设定为320 MHz。经过带通滤波器后的信号进入第二混频器,与固定本振频率信号混频,将第一中频信号下变频到第二中频低中频频率上,经过相关的滤波处理后,再经过一系列杂散抑制、幅度补偿和端口匹配后,输送给信号分析模块。

2.2 高速数据发射通道设计

  高速数据发射通道的主要功能是由基带发生器模块为当前要实现的调制类型提供相应格式的基带信号,然后送给RF源的调制电路,产生载波400 MHz~6 GHz的OFDMA数字调制信号。

  LTE-Advanced下行链路采用OFDM多址方式实现。OFDM技术以子载波为单位进行频率资源的分配,R12版本中载波聚合技术,可以通过聚合多个20 MHz的单元载波实现高达100 MHz的系统带宽。

  本文通过MAC汇聚来实现最多载波数目的聚合功能,具体实现方式是在高速FPGA处理单元中采用优化的DDC/DUC算法,将各载波的频谱搬移到合适的位置,然后再进行后续的处理,如图5所示。

  射频合成器模块产生400 MHz~6 GHz的载波信号,然后同相功分成多路,保证MIMO 8×4信号同相处理,后送给IQ调制器的LO端口。同时中频基带模块的输出信号送给调制器的基带信号输入端口;调制器输出RF端口为400 MHz~6 GHz的下行调制信号,该信号再通过多波段射频滤波器组和数字稳幅电路,最终传送至天线。因此,宽带IQ调制器实现400 MHz~6 GHz信号调制,功率范围-140~15 dBm的射频调制信号输出。

3 DDR3接口优化

  大容量的DDR3对于高速数据处理来说,必不可少,为了更好的便于FPGA与DDR3之间数据传输,对于它们之间的接口进行了相关优化,其接口示意图如图6所示。

4 相关实验结果

  5G通信高速数据传输测试模块基带收发1 x协议模式与4 x协议模式接口时序图如图7、图8所示。

5 结论

  本文实现了5G通信高速数据传输,通过SRIO1x和SRIO4x接口时序数据结果,得知,采用高性能FPGA、高位宽、高采样率的AD和DA,实现高速数据传输是可行的,特别对于目前5G通信,为后续5G通信提供很好的参考测试、验证。

  参考文献:

  [1]余莉,张治中,程方,等.第五代移动通信网络体系架构及其关键技术[J].重庆邮电大学学报(自然科学版),2004,04:427-433.

  [2]唐礼红.5 Gbps高速串行接口电路的研究与设计[D].国防科学技术大学,2009.

  [3]陈陪陪.高速PLL的研究与设计[D].电子科技大学,2016.

  [4]姜漫.10Gpbs/40Gpbs光纤通信技术研究与系统实现[D].中国科学院大学,2012.

  [5]任柯.高速光纤数据传输线关键技术研究[D].电子科技大学,2012.

  [6]史霏霏.应用于光纤通信的高速接口的建模与研究[D].电子科技大学,2014.

  [7]莫建强.高速数字电路中的信号完整性分析[J].电子测试,2011,09:(09)5-9.

  [8]王巍.基于FPGA的高速串行数据传输的设计与实现[D].天津工业大学,2016.

  [9]李正军,周志权,赵占锋.基于FPGA的高速数据传输系统设计与实现[J].计算机测量与控制,2016,26(9):188-194.

  本文来源于《电子产品世界》2018年第3期第33页,欢迎您写论文时引用,并注明出处。



评论


相关推荐

技术专区

关闭